summaryrefslogtreecommitdiff
path: root/target/xtensa/overlay/xtensa_dc233c_call0.tar
blob: c36f65cc43994c9d0a0d241e6845f0b4b7e936ff (plain)
ofshex dumpascii
0000 62 69 6e 75 74 69 6c 73 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 binutils/.......................
0020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0060 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
0080 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 33 30 34 34 00 20 35 00 00 00 0000000.13013421467.013044..5...
00a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
00c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
00e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0100 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
0120 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
0140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
01a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
01c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
01e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0200 62 69 6e 75 74 69 6c 73 2f 62 66 64 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 binutils/bfd/...................
0220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0260 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
0280 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 33 35 37 37 00 20 35 00 00 00 0000000.13013421467.013577..5...
02a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
02c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
02e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0300 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
0320 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
0340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
03a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
03c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
03e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0400 62 69 6e 75 74 69 6c 73 2f 62 66 64 2f 78 74 65 6e 73 61 2d 6d 6f 64 75 6c 65 73 2e 63 00 00 00 binutils/bfd/xtensa-modules.c...
0420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0460 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
0480 31 33 34 36 32 30 31 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 36 37 32 32 00 20 30 00 00 00 1346201.13013421467.016722..0...
04a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
04c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
04e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0500 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
0520 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
0540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
05a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
05c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
05e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
0600 2f 2a 20 58 74 65 6e 73 61 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 2d 73 70 65 63 69 66 69 63 /*.Xtensa.configuration-specific
0620 20 49 53 41 20 69 6e 66 6f 72 6d 61 74 69 6f 6e 2e 0a 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 .ISA.information......Copyright.
0640 28 63 29 20 32 30 30 33 2d 32 30 31 36 20 54 65 6e 73 69 6c 69 63 61 20 49 6e 63 2e 0a 0a 20 20 (c).2003-2016.Tensilica.Inc.....
0660 20 50 65 72 6d 69 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 79 20 67 72 61 6e 74 65 64 2c 20 66 .Permission.is.hereby.granted,.f
0680 72 65 65 20 6f 66 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e 79 20 70 65 72 73 6f 6e 20 6f 62 74 ree.of.charge,.to.any.person.obt
06a0 61 69 6e 69 6e 67 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 74 68 69 73 20 73 6f 66 74 77 61 72 aining....a.copy.of.this.softwar
06c0 65 20 61 6e 64 20 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 66 e.and.associated.documentation.f
06e0 69 6c 65 73 20 28 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 72 65 22 29 2c 20 74 6f 20 64 65 61 iles.(the...."Software"),.to.dea
0700 6c 20 69 6e 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 74 68 6f 75 74 20 72 65 73 74 72 69 l.in.the.Software.without.restri
0720 63 74 69 6f 6e 2c 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 77 69 74 68 6f 75 74 20 6c 69 6d 69 ction,.including....without.limi
0740 74 61 74 69 6f 6e 20 74 68 65 20 72 69 67 68 74 73 20 74 6f 20 75 73 65 2c 20 63 6f 70 79 2c 20 tation.the.rights.to.use,.copy,.
0760 6d 6f 64 69 66 79 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 73 68 2c 0a 20 20 20 64 69 73 74 72 modify,.merge,.publish,....distr
0780 69 62 75 74 65 2c 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 ibute,.sublicense,.and/or.sell.c
07a0 6f 70 69 65 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2c 20 61 6e 64 20 74 6f 0a 20 20 opies.of.the.Software,.and.to...
07c0 20 70 65 72 6d 69 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 68 6f 6d 20 74 68 65 20 53 6f 66 74 .permit.persons.to.whom.the.Soft
07e0 77 61 72 65 20 69 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f 20 64 6f 20 73 6f 2c 20 73 75 62 6a ware.is.furnished.to.do.so,.subj
0800 65 63 74 20 74 6f 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 69 6e 67 20 63 6f 6e 64 69 74 69 6f ect.to....the.following.conditio
0820 6e 73 3a 0a 0a 20 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f 70 79 72 69 67 68 74 20 6e 6f 74 69 ns:.....The.above.copyright.noti
0840 63 65 20 61 6e 64 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 6f 6e 20 6e 6f 74 69 63 65 20 73 68 ce.and.this.permission.notice.sh
0860 61 6c 6c 20 62 65 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 6e 20 61 6c 6c 20 63 6f 70 69 65 73 all.be.included....in.all.copies
0880 20 6f 72 20 73 75 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 69 6f 6e 73 20 6f 66 20 74 68 65 20 .or.substantial.portions.of.the.
08a0 53 6f 66 74 77 61 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f 46 54 57 41 52 45 20 49 53 20 50 52 Software......THE.SOFTWARE.IS.PR
08c0 4f 56 49 44 45 44 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 4f 55 54 20 57 41 52 52 41 4e 54 59 OVIDED."AS.IS",.WITHOUT.WARRANTY
08e0 20 4f 46 20 41 4e 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 52 45 53 53 20 4f 52 20 49 4d 50 4c .OF.ANY.KIND,....EXPRESS.OR.IMPL
0900 49 45 44 2c 20 49 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e 4f 54 20 4c 49 4d 49 54 45 44 20 54 IED,.INCLUDING.BUT.NOT.LIMITED.T
0920 4f 20 54 48 45 20 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a 20 20 20 4d 45 52 43 48 41 4e 54 41 O.THE.WARRANTIES.OF....MERCHANTA
0940 42 49 4c 49 54 59 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 41 52 54 49 43 55 4c 41 52 BILITY,.FITNESS.FOR.A.PARTICULAR
0960 20 50 55 52 50 4f 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 .PURPOSE.AND.NONINFRINGEMENT....
0980 20 49 4e 20 4e 4f 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 48 45 20 41 55 54 48 4f 52 53 20 4f .IN.NO.EVENT.SHALL.THE.AUTHORS.O
09a0 52 20 43 4f 50 59 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 42 45 20 4c 49 41 42 4c 45 20 46 4f R.COPYRIGHT.HOLDERS.BE.LIABLE.FO
09c0 52 20 41 4e 59 0a 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 47 45 53 20 4f 52 20 4f 54 48 45 52 R.ANY....CLAIM,.DAMAGES.OR.OTHER
09e0 20 4c 49 41 42 49 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 49 4e 20 41 4e 20 41 43 54 49 4f 4e .LIABILITY,.WHETHER.IN.AN.ACTION
0a00 20 4f 46 20 43 4f 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 54 20 4f 52 20 4f 54 48 45 52 57 49 .OF.CONTRACT,....TORT.OR.OTHERWI
0a20 53 45 2c 20 41 52 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 54 20 4f 46 20 4f 52 20 49 4e 20 43 SE,.ARISING.FROM,.OUT.OF.OR.IN.C
0a40 4f 4e 4e 45 43 54 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 20 20 53 4f 46 54 57 41 52 45 20 4f ONNECTION.WITH.THE....SOFTWARE.O
0a60 52 20 54 48 45 20 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 45 41 4c 49 4e 47 53 20 49 4e 20 54 R.THE.USE.OR.OTHER.DEALINGS.IN.T
0a80 48 45 20 53 4f 46 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 23 69 6e 63 6c 75 64 65 20 22 61 6e 73 69 HE.SOFTWARE...*/..#include."ansi
0aa0 64 65 63 6c 2e 68 22 0a 23 69 6e 63 6c 75 64 65 20 3c 78 74 65 6e 73 61 2d 69 73 61 2e 68 3e 0a decl.h".#include.<xtensa-isa.h>.
0ac0 23 69 6e 63 6c 75 64 65 20 22 78 74 65 6e 73 61 2d 69 73 61 2d 69 6e 74 65 72 6e 61 6c 2e 68 22 #include."xtensa-isa-internal.h"
0ae0 0a 0a 0c 0a 2f 2a 20 53 79 73 72 65 67 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 78 74 65 6e ..../*.Sysregs...*/..static.xten
0b00 73 61 5f 73 79 73 72 65 67 5f 69 6e 74 65 72 6e 61 6c 20 73 79 73 72 65 67 73 5b 5d 20 3d 20 7b sa_sysreg_internal.sysregs[].=.{
0b20 0a 20 20 7b 20 22 4c 42 45 47 22 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4c 45 4e 44 22 2c ...{."LBEG",.0,.0.},...{."LEND",
0b40 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4c 43 4f 55 4e 54 22 2c 20 32 2c 20 30 20 7d 2c 0a 20 .1,.0.},...{."LCOUNT",.2,.0.},..
0b60 20 7b 20 22 41 43 43 4c 4f 22 2c 20 31 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 43 43 48 49 22 .{."ACCLO",.16,.0.},...{."ACCHI"
0b80 2c 20 31 37 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 30 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 ,.17,.0.},...{."M0",.32,.0.},...
0ba0 7b 20 22 4d 31 22 2c 20 33 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 32 22 2c 20 33 34 2c 20 30 {."M1",.33,.0.},...{."M2",.34,.0
0bc0 20 7d 2c 0a 20 20 7b 20 22 4d 33 22 2c 20 33 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 54 45 56 .},...{."M3",.35,.0.},...{."PTEV
0be0 41 44 44 52 22 2c 20 38 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 4d 49 44 22 2c 20 38 39 2c 20 ADDR",.83,.0.},...{."MMID",.89,.
0c00 30 20 7d 2c 0a 20 20 7b 20 22 44 44 52 22 2c 20 31 30 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 0.},...{."DDR",.104,.0.},...{."C
0c20 4f 4e 46 49 47 49 44 30 22 2c 20 31 37 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 4f 4e 46 49 47 ONFIGID0",.176,.0.},...{."CONFIG
0c40 49 44 31 22 2c 20 32 30 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 4e 54 45 52 52 55 50 54 22 2c ID1",.208,.0.},...{."INTERRUPT",
0c60 20 32 32 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 4e 54 43 4c 45 41 52 22 2c 20 32 32 37 2c 20 .226,.0.},...{."INTCLEAR",.227,.
0c80 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 55 4e 54 22 2c 20 32 33 34 2c 20 30 20 7d 2c 0a 20 20 7b 0.},...{."CCOUNT",.234,.0.},...{
0ca0 20 22 50 52 49 44 22 2c 20 32 33 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 43 4f 55 4e 54 22 2c ."PRID",.235,.0.},...{."ICOUNT",
0cc0 20 32 33 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 30 22 2c 20 32 34 30 2c .236,.0.},...{."CCOMPARE0",.240,
0ce0 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 31 22 2c 20 32 34 31 2c 20 30 20 7d 2c .0.},...{."CCOMPARE1",.241,.0.},
0d00 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 32 22 2c 20 32 34 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 ...{."CCOMPARE2",.242,.0.},...{.
0d20 22 56 45 43 42 41 53 45 22 2c 20 32 33 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 31 22 2c "VECBASE",.231,.0.},...{."EPC1",
0d40 20 31 37 37 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 32 22 2c 20 31 37 38 2c 20 30 20 7d 2c .177,.0.},...{."EPC2",.178,.0.},
0d60 0a 20 20 7b 20 22 45 50 43 33 22 2c 20 31 37 39 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 34 ...{."EPC3",.179,.0.},...{."EPC4
0d80 22 2c 20 31 38 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 35 22 2c 20 31 38 31 2c 20 30 20 ",.180,.0.},...{."EPC5",.181,.0.
0da0 7d 2c 0a 20 20 7b 20 22 45 50 43 36 22 2c 20 31 38 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 },...{."EPC6",.182,.0.},...{."EP
0dc0 43 37 22 2c 20 31 38 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 31 22 2c 20 32 C7",.183,.0.},...{."EXCSAVE1",.2
0de0 30 39 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 32 22 2c 20 32 31 30 2c 20 30 20 09,.0.},...{."EXCSAVE2",.210,.0.
0e00 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 33 22 2c 20 32 31 31 2c 20 30 20 7d 2c 0a 20 20 7b },...{."EXCSAVE3",.211,.0.},...{
0e20 20 22 45 58 43 53 41 56 45 34 22 2c 20 32 31 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 ."EXCSAVE4",.212,.0.},...{."EXCS
0e40 41 56 45 35 22 2c 20 32 31 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 36 22 2c AVE5",.213,.0.},...{."EXCSAVE6",
0e60 20 32 31 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 37 22 2c 20 32 31 35 2c 20 .214,.0.},...{."EXCSAVE7",.215,.
0e80 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 32 22 2c 20 31 39 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 0.},...{."EPS2",.194,.0.},...{."
0ea0 45 50 53 33 22 2c 20 31 39 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 34 22 2c 20 31 39 36 EPS3",.195,.0.},...{."EPS4",.196
0ec0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 35 22 2c 20 31 39 37 2c 20 30 20 7d 2c 0a 20 20 7b ,.0.},...{."EPS5",.197,.0.},...{
0ee0 20 22 45 50 53 36 22 2c 20 31 39 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 37 22 2c 20 31 ."EPS6",.198,.0.},...{."EPS7",.1
0f00 39 39 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 43 41 55 53 45 22 2c 20 32 33 32 2c 20 30 20 99,.0.},...{."EXCCAUSE",.232,.0.
0f20 7d 2c 0a 20 20 7b 20 22 44 45 50 43 22 2c 20 31 39 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 },...{."DEPC",.192,.0.},...{."EX
0f40 43 56 41 44 44 52 22 2c 20 32 33 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 57 49 4e 44 4f 57 42 41 CVADDR",.238,.0.},...{."WINDOWBA
0f60 53 45 22 2c 20 37 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 57 49 4e 44 4f 57 53 54 41 52 54 22 2c SE",.72,.0.},...{."WINDOWSTART",
0f80 20 37 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 53 41 52 22 2c 20 33 2c 20 30 20 7d 2c 0a 20 20 7b .73,.0.},...{."SAR",.3,.0.},...{
0fa0 20 22 50 53 22 2c 20 32 33 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 49 53 43 30 22 2c 20 32 34 ."PS",.230,.0.},...{."MISC0",.24
0fc0 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 49 53 43 31 22 2c 20 32 34 35 2c 20 30 20 7d 2c 0a 20 4,.0.},...{."MISC1",.245,.0.},..
0fe0 20 7b 20 22 49 4e 54 45 4e 41 42 4c 45 22 2c 20 32 32 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 .{."INTENABLE",.228,.0.},...{."D
1000 42 52 45 41 4b 41 30 22 2c 20 31 34 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 43 BREAKA0",.144,.0.},...{."DBREAKC
1020 30 22 2c 20 31 36 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 41 31 22 2c 20 31 34 0",.160,.0.},...{."DBREAKA1",.14
1040 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 43 31 22 2c 20 31 36 31 2c 20 30 20 7d 5,.0.},...{."DBREAKC1",.161,.0.}
1060 2c 0a 20 20 7b 20 22 49 42 52 45 41 4b 41 30 22 2c 20 31 32 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 ,...{."IBREAKA0",.128,.0.},...{.
1080 22 49 42 52 45 41 4b 41 31 22 2c 20 31 32 39 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 42 52 45 41 "IBREAKA1",.129,.0.},...{."IBREA
10a0 4b 45 4e 41 42 4c 45 22 2c 20 39 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 43 4f 55 4e 54 4c 45 KENABLE",.96,.0.},...{."ICOUNTLE
10c0 56 45 4c 22 2c 20 32 33 37 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 45 42 55 47 43 41 55 53 45 22 VEL",.237,.0.},...{."DEBUGCAUSE"
10e0 2c 20 32 33 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 52 41 53 49 44 22 2c 20 39 30 2c 20 30 20 7d ,.233,.0.},...{."RASID",.90,.0.}
1100 2c 0a 20 20 7b 20 22 49 54 4c 42 43 46 47 22 2c 20 39 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 ,...{."ITLBCFG",.91,.0.},...{."D
1120 54 4c 42 43 46 47 22 2c 20 39 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 50 45 4e 41 42 4c 45 22 TLBCFG",.92,.0.},...{."CPENABLE"
1140 2c 20 32 32 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 53 43 4f 4d 50 41 52 45 31 22 2c 20 31 32 2c ,.224,.0.},...{."SCOMPARE1",.12,
1160 20 30 20 7d 2c 0a 20 20 7b 20 22 41 54 4f 4d 43 54 4c 22 2c 20 39 39 2c 20 30 20 7d 2c 0a 20 20 .0.},...{."ATOMCTL",.99,.0.},...
1180 7b 20 22 54 48 52 45 41 44 50 54 52 22 2c 20 32 33 31 2c 20 31 20 7d 2c 0a 20 20 7b 20 22 45 58 {."THREADPTR",.231,.1.},...{."EX
11a0 50 53 54 41 54 45 22 2c 20 32 33 30 2c 20 31 20 7d 0a 7d 3b 0a 0a 23 64 65 66 69 6e 65 20 4e 55 PSTATE",.230,.1.}.};..#define.NU
11c0 4d 5f 53 59 53 52 45 47 53 20 37 30 0a 23 64 65 66 69 6e 65 20 4d 41 58 5f 53 50 45 43 49 41 4c M_SYSREGS.70.#define.MAX_SPECIAL
11e0 5f 52 45 47 20 32 34 35 0a 23 64 65 66 69 6e 65 20 4d 41 58 5f 55 53 45 52 5f 52 45 47 20 32 33 _REG.245.#define.MAX_USER_REG.23
1200 31 0a 0a 0c 0a 2f 2a 20 50 72 6f 63 65 73 73 6f 72 20 73 74 61 74 65 73 2e 20 20 2a 2f 0a 0a 73 1..../*.Processor.states...*/..s
1220 74 61 74 69 63 20 78 74 65 6e 73 61 5f 73 74 61 74 65 5f 69 6e 74 65 72 6e 61 6c 20 73 74 61 74 tatic.xtensa_state_internal.stat
1240 65 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 22 4c 43 4f 55 4e 54 22 2c 20 33 32 2c 20 30 20 7d 2c 0a es[].=.{...{."LCOUNT",.32,.0.},.
1260 20 20 7b 20 22 50 43 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 43 4f 55 4e 54 22 2c ..{."PC",.32,.0.},...{."ICOUNT",
1280 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 44 52 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 .32,.0.},...{."DDR",.32,.0.},...
12a0 7b 20 22 49 4e 54 45 52 52 55 50 54 22 2c 20 32 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f {."INTERRUPT",.22,.0.},...{."CCO
12c0 55 4e 54 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 58 54 53 59 4e 43 22 2c 20 31 2c 20 UNT",.32,.0.},...{."XTSYNC",.1,.
12e0 30 20 7d 2c 0a 20 20 7b 20 22 56 45 43 42 41 53 45 22 2c 20 32 32 2c 20 30 20 7d 2c 0a 20 20 7b 0.},...{."VECBASE",.22,.0.},...{
1300 20 22 45 50 43 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 32 22 2c 20 33 32 ."EPC1",.32,.0.},...{."EPC2",.32
1320 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 33 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 ,.0.},...{."EPC3",.32,.0.},...{.
1340 22 45 50 43 34 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 35 22 2c 20 33 32 2c "EPC4",.32,.0.},...{."EPC5",.32,
1360 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 36 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 .0.},...{."EPC6",.32,.0.},...{."
1380 45 50 43 37 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 31 22 2c 20 EPC7",.32,.0.},...{."EXCSAVE1",.
13a0 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 32 22 2c 20 33 32 2c 20 30 20 7d 32,.0.},...{."EXCSAVE2",.32,.0.}
13c0 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 33 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 ,...{."EXCSAVE3",.32,.0.},...{."
13e0 45 58 43 53 41 56 45 34 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 EXCSAVE4",.32,.0.},...{."EXCSAVE
1400 35 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 36 22 2c 20 33 32 2c 5",.32,.0.},...{."EXCSAVE6",.32,
1420 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 37 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 .0.},...{."EXCSAVE7",.32,.0.},..
1440 20 7b 20 22 45 50 53 32 22 2c 20 31 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 33 22 2c 20 .{."EPS2",.15,.0.},...{."EPS3",.
1460 31 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 34 22 2c 20 31 35 2c 20 30 20 7d 2c 0a 20 20 15,.0.},...{."EPS4",.15,.0.},...
1480 7b 20 22 45 50 53 35 22 2c 20 31 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 36 22 2c 20 31 {."EPS5",.15,.0.},...{."EPS6",.1
14a0 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 37 22 2c 20 31 35 2c 20 30 20 7d 2c 0a 20 20 7b 5,.0.},...{."EPS7",.15,.0.},...{
14c0 20 22 45 58 43 43 41 55 53 45 22 2c 20 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 49 4e 54 4c ."EXCCAUSE",.6,.0.},...{."PSINTL
14e0 45 56 45 4c 22 2c 20 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 55 4d 22 2c 20 31 2c 20 30 20 EVEL",.4,.0.},...{."PSUM",.1,.0.
1500 7d 2c 0a 20 20 7b 20 22 50 53 57 4f 45 22 2c 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 52 },...{."PSWOE",.1,.0.},...{."PSR
1520 49 4e 47 22 2c 20 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 45 58 43 4d 22 2c 20 31 2c 20 30 ING",.2,.0.},...{."PSEXCM",.1,.0
1540 20 7d 2c 0a 20 20 7b 20 22 44 45 50 43 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 .},...{."DEPC",.32,.0.},...{."EX
1560 43 56 41 44 44 52 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 57 69 6e 64 6f 77 42 61 73 CVADDR",.32,.0.},...{."WindowBas
1580 65 22 2c 20 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 57 69 6e 64 6f 77 53 74 61 72 74 22 2c 20 38 e",.3,.0.},...{."WindowStart",.8
15a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 43 41 4c 4c 49 4e 43 22 2c 20 32 2c 20 30 20 7d 2c 0a ,.0.},...{."PSCALLINC",.2,.0.},.
15c0 20 20 7b 20 22 50 53 4f 57 42 22 2c 20 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4c 42 45 47 22 2c ..{."PSOWB",.4,.0.},...{."LBEG",
15e0 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4c 45 4e 44 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 .32,.0.},...{."LEND",.32,.0.},..
1600 20 7b 20 22 53 41 52 22 2c 20 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 54 48 52 45 41 44 50 54 52 .{."SAR",.6,.0.},...{."THREADPTR
1620 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 49 53 43 30 22 2c 20 33 32 2c 20 30 20 7d ",.32,.0.},...{."MISC0",.32,.0.}
1640 2c 0a 20 20 7b 20 22 4d 49 53 43 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 43 43 ,...{."MISC1",.32,.0.},...{."ACC
1660 22 2c 20 34 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 6e 4f 43 44 4d 6f 64 65 22 2c 20 31 2c 20 ",.40,.0.},...{."InOCDMode",.1,.
1680 30 20 7d 2c 0a 20 20 7b 20 22 49 4e 54 45 4e 41 42 4c 45 22 2c 20 32 32 2c 20 30 20 7d 2c 0a 20 0.},...{."INTENABLE",.22,.0.},..
16a0 20 7b 20 22 44 42 52 45 41 4b 41 30 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 .{."DBREAKA0",.32,.0.},...{."DBR
16c0 45 41 4b 43 30 22 2c 20 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 41 31 22 2c 20 EAKC0",.8,.0.},...{."DBREAKA1",.
16e0 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 43 31 22 2c 20 38 2c 20 30 20 7d 2c 32,.0.},...{."DBREAKC1",.8,.0.},
1700 0a 20 20 7b 20 22 49 42 52 45 41 4b 41 30 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 ...{."IBREAKA0",.32,.0.},...{."I
1720 42 52 45 41 4b 41 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 42 52 45 41 4b 45 4e BREAKA1",.32,.0.},...{."IBREAKEN
1740 41 42 4c 45 22 2c 20 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 43 4f 55 4e 54 4c 45 56 45 4c 22 ABLE",.2,.0.},...{."ICOUNTLEVEL"
1760 2c 20 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 45 42 55 47 43 41 55 53 45 22 2c 20 36 2c 20 30 ,.4,.0.},...{."DEBUGCAUSE",.6,.0
1780 20 7d 2c 0a 20 20 7b 20 22 44 42 4e 55 4d 22 2c 20 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 .},...{."DBNUM",.4,.0.},...{."CC
17a0 4f 4d 50 41 52 45 30 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 OMPARE0",.32,.0.},...{."CCOMPARE
17c0 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 32 22 2c 20 33 32 1",.32,.0.},...{."CCOMPARE2",.32
17e0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 53 49 44 33 22 2c 20 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 ,.0.},...{."ASID3",.8,.0.},...{.
1800 22 41 53 49 44 32 22 2c 20 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 53 49 44 31 22 2c 20 38 2c "ASID2",.8,.0.},...{."ASID1",.8,
1820 20 30 20 7d 2c 0a 20 20 7b 20 22 49 4e 53 54 50 47 53 5a 49 44 36 22 2c 20 31 2c 20 30 20 7d 2c .0.},...{."INSTPGSZID6",.1,.0.},
1840 0a 20 20 7b 20 22 49 4e 53 54 50 47 53 5a 49 44 35 22 2c 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 ...{."INSTPGSZID5",.1,.0.},...{.
1860 22 49 4e 53 54 50 47 53 5a 49 44 34 22 2c 20 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 41 54 41 "INSTPGSZID4",.2,.0.},...{."DATA
1880 50 47 53 5a 49 44 36 22 2c 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 41 54 41 50 47 53 5a 49 PGSZID6",.1,.0.},...{."DATAPGSZI
18a0 44 35 22 2c 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 41 54 41 50 47 53 5a 49 44 34 22 2c 20 D5",.1,.0.},...{."DATAPGSZID4",.
18c0 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 54 42 41 53 45 22 2c 20 31 30 2c 20 30 20 7d 2c 0a 20 2,.0.},...{."PTBASE",.10,.0.},..
18e0 20 7b 20 22 43 50 45 4e 41 42 4c 45 22 2c 20 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 53 43 4f 4d .{."CPENABLE",.8,.0.},...{."SCOM
1900 50 41 52 45 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 54 4f 4d 43 54 4c 22 2c 20 PARE1",.32,.0.},...{."ATOMCTL",.
1920 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 50 53 54 41 54 45 22 2c 20 33 32 2c 20 58 54 45 4e 6,.0.},...{."EXPSTATE",.32,.XTEN
1940 53 41 5f 53 54 41 54 45 5f 49 53 5f 45 58 50 4f 52 54 45 44 20 7d 0a 7d 3b 0a 0a 23 64 65 66 69 SA_STATE_IS_EXPORTED.}.};..#defi
1960 6e 65 20 4e 55 4d 5f 53 54 41 54 45 53 20 37 36 0a 0a 65 6e 75 6d 20 78 74 65 6e 73 61 5f 73 74 ne.NUM_STATES.76..enum.xtensa_st
1980 61 74 65 5f 69 64 20 7b 0a 20 20 53 54 41 54 45 5f 4c 43 4f 55 4e 54 2c 0a 20 20 53 54 41 54 45 ate_id.{...STATE_LCOUNT,...STATE
19a0 5f 50 43 2c 0a 20 20 53 54 41 54 45 5f 49 43 4f 55 4e 54 2c 0a 20 20 53 54 41 54 45 5f 44 44 52 _PC,...STATE_ICOUNT,...STATE_DDR
19c0 2c 0a 20 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 2c 0a 20 20 53 54 41 54 45 5f 43 43 4f ,...STATE_INTERRUPT,...STATE_CCO
19e0 55 4e 54 2c 0a 20 20 53 54 41 54 45 5f 58 54 53 59 4e 43 2c 0a 20 20 53 54 41 54 45 5f 56 45 43 UNT,...STATE_XTSYNC,...STATE_VEC
1a00 42 41 53 45 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 31 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 32 BASE,...STATE_EPC1,...STATE_EPC2
1a20 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 33 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 34 2c 0a 20 20 ,...STATE_EPC3,...STATE_EPC4,...
1a40 53 54 41 54 45 5f 45 50 43 35 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 36 2c 0a 20 20 53 54 41 54 STATE_EPC5,...STATE_EPC6,...STAT
1a60 45 5f 45 50 43 37 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 31 2c 0a 20 20 53 54 41 54 E_EPC7,...STATE_EXCSAVE1,...STAT
1a80 45 5f 45 58 43 53 41 56 45 32 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 33 2c 0a 20 20 E_EXCSAVE2,...STATE_EXCSAVE3,...
1aa0 53 54 41 54 45 5f 45 58 43 53 41 56 45 34 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 35 STATE_EXCSAVE4,...STATE_EXCSAVE5
1ac0 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 36 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 ,...STATE_EXCSAVE6,...STATE_EXCS
1ae0 41 56 45 37 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 32 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 33 AVE7,...STATE_EPS2,...STATE_EPS3
1b00 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 34 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 35 2c 0a 20 20 ,...STATE_EPS4,...STATE_EPS5,...
1b20 53 54 41 54 45 5f 45 50 53 36 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 37 2c 0a 20 20 53 54 41 54 STATE_EPS6,...STATE_EPS7,...STAT
1b40 45 5f 45 58 43 43 41 55 53 45 2c 0a 20 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 2c 0a E_EXCCAUSE,...STATE_PSINTLEVEL,.
1b60 20 20 53 54 41 54 45 5f 50 53 55 4d 2c 0a 20 20 53 54 41 54 45 5f 50 53 57 4f 45 2c 0a 20 20 53 ..STATE_PSUM,...STATE_PSWOE,...S
1b80 54 41 54 45 5f 50 53 52 49 4e 47 2c 0a 20 20 53 54 41 54 45 5f 50 53 45 58 43 4d 2c 0a 20 20 53 TATE_PSRING,...STATE_PSEXCM,...S
1ba0 54 41 54 45 5f 44 45 50 43 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 2c 0a 20 20 53 TATE_DEPC,...STATE_EXCVADDR,...S
1bc0 54 41 54 45 5f 57 69 6e 64 6f 77 42 61 73 65 2c 0a 20 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 53 TATE_WindowBase,...STATE_WindowS
1be0 74 61 72 74 2c 0a 20 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 2c 0a 20 20 53 54 41 54 45 tart,...STATE_PSCALLINC,...STATE
1c00 5f 50 53 4f 57 42 2c 0a 20 20 53 54 41 54 45 5f 4c 42 45 47 2c 0a 20 20 53 54 41 54 45 5f 4c 45 _PSOWB,...STATE_LBEG,...STATE_LE
1c20 4e 44 2c 0a 20 20 53 54 41 54 45 5f 53 41 52 2c 0a 20 20 53 54 41 54 45 5f 54 48 52 45 41 44 50 ND,...STATE_SAR,...STATE_THREADP
1c40 54 52 2c 0a 20 20 53 54 41 54 45 5f 4d 49 53 43 30 2c 0a 20 20 53 54 41 54 45 5f 4d 49 53 43 31 TR,...STATE_MISC0,...STATE_MISC1
1c60 2c 0a 20 20 53 54 41 54 45 5f 41 43 43 2c 0a 20 20 53 54 41 54 45 5f 49 6e 4f 43 44 4d 6f 64 65 ,...STATE_ACC,...STATE_InOCDMode
1c80 2c 0a 20 20 53 54 41 54 45 5f 49 4e 54 45 4e 41 42 4c 45 2c 0a 20 20 53 54 41 54 45 5f 44 42 52 ,...STATE_INTENABLE,...STATE_DBR
1ca0 45 41 4b 41 30 2c 0a 20 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 30 2c 0a 20 20 53 54 41 54 45 EAKA0,...STATE_DBREAKC0,...STATE
1cc0 5f 44 42 52 45 41 4b 41 31 2c 0a 20 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 31 2c 0a 20 20 53 _DBREAKA1,...STATE_DBREAKC1,...S
1ce0 54 41 54 45 5f 49 42 52 45 41 4b 41 30 2c 0a 20 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 31 2c TATE_IBREAKA0,...STATE_IBREAKA1,
1d00 0a 20 20 53 54 41 54 45 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 2c 0a 20 20 53 54 41 54 45 5f 49 ...STATE_IBREAKENABLE,...STATE_I
1d20 43 4f 55 4e 54 4c 45 56 45 4c 2c 0a 20 20 53 54 41 54 45 5f 44 45 42 55 47 43 41 55 53 45 2c 0a COUNTLEVEL,...STATE_DEBUGCAUSE,.
1d40 20 20 53 54 41 54 45 5f 44 42 4e 55 4d 2c 0a 20 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 30 ..STATE_DBNUM,...STATE_CCOMPARE0
1d60 2c 0a 20 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 31 2c 0a 20 20 53 54 41 54 45 5f 43 43 4f ,...STATE_CCOMPARE1,...STATE_CCO
1d80 4d 50 41 52 45 32 2c 0a 20 20 53 54 41 54 45 5f 41 53 49 44 33 2c 0a 20 20 53 54 41 54 45 5f 41 MPARE2,...STATE_ASID3,...STATE_A
1da0 53 49 44 32 2c 0a 20 20 53 54 41 54 45 5f 41 53 49 44 31 2c 0a 20 20 53 54 41 54 45 5f 49 4e 53 SID2,...STATE_ASID1,...STATE_INS
1dc0 54 50 47 53 5a 49 44 36 2c 0a 20 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 35 2c 0a 20 TPGSZID6,...STATE_INSTPGSZID5,..
1de0 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 34 2c 0a 20 20 53 54 41 54 45 5f 44 41 54 41 .STATE_INSTPGSZID4,...STATE_DATA
1e00 50 47 53 5a 49 44 36 2c 0a 20 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 35 2c 0a 20 20 PGSZID6,...STATE_DATAPGSZID5,...
1e20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 34 2c 0a 20 20 53 54 41 54 45 5f 50 54 42 41 53 STATE_DATAPGSZID4,...STATE_PTBAS
1e40 45 2c 0a 20 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 2c 0a 20 20 53 54 41 54 45 5f 53 43 4f E,...STATE_CPENABLE,...STATE_SCO
1e60 4d 50 41 52 45 31 2c 0a 20 20 53 54 41 54 45 5f 41 54 4f 4d 43 54 4c 2c 0a 20 20 53 54 41 54 45 MPARE1,...STATE_ATOMCTL,...STATE
1e80 5f 45 58 50 53 54 41 54 45 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 46 69 65 6c 64 20 64 65 66 69 6e 69 74 _EXPSTATE.};..../*.Field.definit
1ea0 69 6f 6e 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 ions...*/..static.unsigned.Field
1ec0 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 _t_Slot_inst_get.(const.xtensa_i
1ee0 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 nsnbuf.insn).{...unsigned.tie_t.
1f00 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 =.0;...tie_t.=.(tie_t.<<.4).|.((
1f20 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 insn[0].<<.24).>>.28);...return.
1f40 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 5f 53 6c tie_t;.}..static.void.Field_t_Sl
1f60 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e ot_inst_set.(xtensa_insnbuf.insn
1f80 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a ,.uint32.val).{...uint32.tie_t;.
1fa0 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 ..tie_t.=.(val.<<.28).>>.28;...i
1fc0 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 nsn[0].=.(insn[0].&.~0xf0).|.(ti
1fe0 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 e_t.<<.4);.}..static.unsigned.Fi
2000 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 eld_s_Slot_inst_get.(const.xtens
2020 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 a_insnbuf.insn).{...unsigned.tie
2040 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c _t.=.0;...tie_t.=.(tie_t.<<.4).|
2060 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 .((insn[0].<<.20).>>.28);...retu
2080 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 rn.tie_t;.}..static.void.Field_s
20a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 _Slot_inst_set.(xtensa_insnbuf.i
20c0 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
20e0 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a t;...tie_t.=.(val.<<.28).>>.28;.
2100 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c ..insn[0].=.(insn[0].&.~0xf00).|
2120 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 .(tie_t.<<.8);.}..static.unsigne
2140 64 0a 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 d.Field_r_Slot_inst_get.(const.x
2160 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 tensa_insnbuf.insn).{...unsigned
2180 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 .tie_t.=.0;...tie_t.=.(tie_t.<<.
21a0 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 4).|.((insn[0].<<.16).>>.28);...
21c0 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 return.tie_t;.}..static.void.Fie
21e0 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 ld_r_Slot_inst_set.(xtensa_insnb
2200 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 uf.insn,.uint32.val).{...uint32.
2220 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 tie_t;...tie_t.=.(val.<<.28).>>.
2240 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 28;...insn[0].=.(insn[0].&.~0xf0
2260 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 00).|.(tie_t.<<.12);.}..static.u
2280 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 nsigned.Field_op2_Slot_inst_get.
22a0 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 (const.xtensa_insnbuf.insn).{...
22c0 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 unsigned.tie_t.=.0;...tie_t.=.(t
22e0 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 ie_t.<<.4).|.((insn[0].<<.8).>>.
2300 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 28);...return.tie_t;.}..static.v
2320 6f 69 64 0a 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 oid.Field_op2_Slot_inst_set.(xte
2340 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a nsa_insnbuf.insn,.uint32.val).{.
2360 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c ..uint32.tie_t;...tie_t.=.(val.<
2380 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.28).>>.28;...insn[0].=.(insn[0
23a0 5d 20 26 20 7e 30 78 66 30 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 32 30 29 3b 0a ].&.~0xf00000).|.(tie_t.<<.20);.
23c0 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f }..static.unsigned.Field_op1_Slo
23e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
2400 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
2420 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 .tie_t.=.(tie_t.<<.4).|.((insn[0
2440 5d 20 3c 3c 20 31 32 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b ].<<.12).>>.28);...return.tie_t;
2460 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 .}..static.void.Field_op1_Slot_i
2480 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 nst_set.(xtensa_insnbuf.insn,.ui
24a0 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
24c0 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.28).>>.28;...insn[
24e0 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 30 29 20 7c 20 28 74 69 65 0].=.(insn[0].&.~0xf0000).|.(tie
2500 5f 74 20 3c 3c 20 31 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 _t.<<.16);.}..static.unsigned.Fi
2520 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 eld_op0_Slot_inst_get.(const.xte
2540 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 nsa_insnbuf.insn).{...unsigned.t
2560 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 ie_t.=.0;...tie_t.=.(tie_t.<<.4)
2580 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 .|.((insn[0].<<.28).>>.28);...re
25a0 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 turn.tie_t;.}..static.void.Field
25c0 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 _op0_Slot_inst_set.(xtensa_insnb
25e0 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 uf.insn,.uint32.val).{...uint32.
2600 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 tie_t;...tie_t.=.(val.<<.28).>>.
2620 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 29 28;...insn[0].=.(insn[0].&.~0xf)
2640 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .|.(tie_t.<<.0);.}..static.unsig
2660 6e 65 64 0a 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 ned.Field_n_Slot_inst_get.(const
2680 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
26a0 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
26c0 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a <.2).|.((insn[0].<<.26).>>.30);.
26e0 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
2700 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 ield_n_Slot_inst_set.(xtensa_ins
2720 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
2740 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 29 20 3e 2.tie_t;...tie_t.=.(val.<<.30).>
2760 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.30;...insn[0].=.(insn[0].&.~0x
2780 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 30).|.(tie_t.<<.4);.}..static.un
27a0 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f signed.Field_m_Slot_inst_get.(co
27c0 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 nst.xtensa_insnbuf.insn).{...uns
27e0 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f igned.tie_t.=.0;...tie_t.=.(tie_
2800 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 30 t.<<.2).|.((insn[0].<<.24).>>.30
2820 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 );...return.tie_t;.}..static.voi
2840 64 0a 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f d.Field_m_Slot_inst_set.(xtensa_
2860 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 insnbuf.insn,.uint32.val).{...ui
2880 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 nt32.tie_t;...tie_t.=.(val.<<.30
28a0 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 ).>>.30;...insn[0].=.(insn[0].&.
28c0 7e 30 78 63 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 ~0xc0).|.(tie_t.<<.6);.}..static
28e0 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .unsigned.Field_sr_Slot_inst_get
2900 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 .(const.xtensa_insnbuf.insn).{..
2920 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 .unsigned.tie_t.=.0;...tie_t.=.(
2940 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e tie_t.<<.4).|.((insn[0].<<.16).>
2960 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 >.28);...tie_t.=.(tie_t.<<.4).|.
2980 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 ((insn[0].<<.20).>>.28);...retur
29a0 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 72 n.tie_t;.}..static.void.Field_sr
29c0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 _Slot_inst_set.(xtensa_insnbuf.i
29e0 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
2a00 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a t;...tie_t.=.(val.<<.28).>>.28;.
2a20 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c ..insn[0].=.(insn[0].&.~0xf00).|
2a40 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c .(tie_t.<<.8);...tie_t.=.(val.<<
2a60 20 32 34 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d .24).>>.28;...insn[0].=.(insn[0]
2a80 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a .&.~0xf000).|.(tie_t.<<.12);.}..
2aa0 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e static.unsigned.Field_st_Slot_in
2ac0 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 st_get.(const.xtensa_insnbuf.ins
2ae0 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 n).{...unsigned.tie_t.=.0;...tie
2b00 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
2b20 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c .20).>>.28);...tie_t.=.(tie_t.<<
2b40 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 .4).|.((insn[0].<<.24).>>.28);..
2b60 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 .return.tie_t;.}..static.void.Fi
2b80 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 eld_st_Slot_inst_set.(xtensa_ins
2ba0 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
2bc0 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 2.tie_t;...tie_t.=.(val.<<.28).>
2be0 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.28;...insn[0].=.(insn[0].&.~0x
2c00 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 f0).|.(tie_t.<<.4);...tie_t.=.(v
2c20 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e al.<<.24).>>.28;...insn[0].=.(in
2c40 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a sn[0].&.~0xf00).|.(tie_t.<<.8);.
2c60 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 74 68 69 33 5f 53 6c }..static.unsigned.Field_thi3_Sl
2c80 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 ot_inst_get.(const.xtensa_insnbu
2ca0 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a f.insn).{...unsigned.tie_t.=.0;.
2cc0 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b ..tie_t.=.(tie_t.<<.3).|.((insn[
2ce0 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 39 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 0].<<.24).>>.29);...return.tie_t
2d00 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 68 69 33 5f 53 6c 6f 74 ;.}..static.void.Field_thi3_Slot
2d20 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 _inst_set.(xtensa_insnbuf.insn,.
2d40 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 uint32.val).{...uint32.tie_t;...
2d60 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 39 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.29).>>.29;...ins
2d80 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 65 30 29 20 7c 20 28 74 69 65 5f n[0].=.(insn[0].&.~0xe0).|.(tie_
2da0 74 20 3c 3c 20 35 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c t.<<.5);.}..static.unsigned.Fiel
2dc0 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 d_t3_Slot_inst_get.(const.xtensa
2de0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f _insnbuf.insn).{...unsigned.tie_
2e00 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 t.=.0;...tie_t.=.(tie_t.<<.1).|.
2e20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 ((insn[0].<<.24).>>.31);...retur
2e40 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 33 n.tie_t;.}..static.void.Field_t3
2e60 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 _Slot_inst_set.(xtensa_insnbuf.i
2e80 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
2ea0 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a t;...tie_t.=.(val.<<.31).>>.31;.
2ec0 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 38 30 29 20 7c 20 ..insn[0].=.(insn[0].&.~0x80).|.
2ee0 28 74 69 65 5f 74 20 3c 3c 20 37 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 (tie_t.<<.7);.}..static.unsigned
2f00 0a 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 .Field_tlo_Slot_inst_get.(const.
2f20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 xtensa_insnbuf.insn).{...unsigne
2f40 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c d.tie_t.=.0;...tie_t.=.(tie_t.<<
2f60 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a 20 .2).|.((insn[0].<<.26).>>.30);..
2f80 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 .return.tie_t;.}..static.void.Fi
2fa0 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e eld_tlo_Slot_inst_set.(xtensa_in
2fc0 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 snbuf.insn,.uint32.val).{...uint
2fe0 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 29 20 32.tie_t;...tie_t.=.(val.<<.30).
3000 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 >>.30;...insn[0].=.(insn[0].&.~0
3020 78 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 x30).|.(tie_t.<<.4);.}..static.u
3040 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 nsigned.Field_w_Slot_inst_get.(c
3060 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e onst.xtensa_insnbuf.insn).{...un
3080 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 signed.tie_t.=.0;...tie_t.=.(tie
30a0 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 38 29 20 3e 3e 20 33 _t.<<.2).|.((insn[0].<<.18).>>.3
30c0 30 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 0);...return.tie_t;.}..static.vo
30e0 69 64 0a 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 id.Field_w_Slot_inst_set.(xtensa
3100 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
3120 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 int32.tie_t;...tie_t.=.(val.<<.3
3140 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 0).>>.30;...insn[0].=.(insn[0].&
3160 20 7e 30 78 33 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 .~0x3000).|.(tie_t.<<.12);.}..st
3180 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 atic.unsigned.Field_r3_Slot_inst
31a0 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 _get.(const.xtensa_insnbuf.insn)
31c0 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 .{...unsigned.tie_t.=.0;...tie_t
31e0 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 .=.(tie_t.<<.1).|.((insn[0].<<.1
3200 36 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 6).>>.31);...return.tie_t;.}..st
3220 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 atic.void.Field_r3_Slot_inst_set
3240 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 .(xtensa_insnbuf.insn,.uint32.va
3260 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 l).{...uint32.tie_t;...tie_t.=.(
3280 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 val.<<.31).>>.31;...insn[0].=.(i
32a0 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 38 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 35 nsn[0].&.~0x8000).|.(tie_t.<<.15
32c0 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 72 68 69 5f );.}..static.unsigned.Field_rhi_
32e0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e Slot_inst_get.(const.xtensa_insn
3300 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 buf.insn).{...unsigned.tie_t.=.0
3320 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 ;...tie_t.=.(tie_t.<<.2).|.((ins
3340 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 33 30 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 n[0].<<.16).>>.30);...return.tie
3360 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 72 68 69 5f 53 6c 6f _t;.}..static.void.Field_rhi_Slo
3380 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c t_inst_set.(xtensa_insnbuf.insn,
33a0 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 .uint32.val).{...uint32.tie_t;..
33c0 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e .tie_t.=.(val.<<.30).>>.30;...in
33e0 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 63 30 30 30 29 20 7c 20 28 74 sn[0].=.(insn[0].&.~0xc000).|.(t
3400 69 65 5f 74 20 3c 3c 20 31 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a ie_t.<<.14);.}..static.unsigned.
3420 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 Field_s3to1_Slot_inst_get.(const
3440 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
3460 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
3480 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 39 29 3b 0a <.3).|.((insn[0].<<.20).>>.29);.
34a0 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
34c0 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 ield_s3to1_Slot_inst_set.(xtensa
34e0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
3500 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 int32.tie_t;...tie_t.=.(val.<<.2
3520 39 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 9).>>.29;...insn[0].=.(insn[0].&
3540 20 7e 30 78 65 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 39 29 3b 0a 7d 0a 0a 73 74 61 74 .~0xe00).|.(tie_t.<<.9);.}..stat
3560 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 ic.unsigned.Field_op0_Slot_inst1
3580 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6a_get.(const.xtensa_insnbuf.ins
35a0 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 n).{...unsigned.tie_t.=.0;...tie
35c0 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
35e0 20 32 38 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a .28).>>.28);...return.tie_t;.}..
3600 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 static.void.Field_op0_Slot_inst1
3620 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 6a_set.(xtensa_insnbuf.insn,.uin
3640 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 t32.val).{...uint32.tie_t;...tie
3660 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 _t.=.(val.<<.28).>>.28;...insn[0
3680 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c ].=.(insn[0].&.~0xf).|.(tie_t.<<
36a0 20 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 74 5f .0);.}..static.unsigned.Field_t_
36c0 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 Slot_inst16b_get.(const.xtensa_i
36e0 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 nsnbuf.insn).{...unsigned.tie_t.
3700 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 =.0;...tie_t.=.(tie_t.<<.4).|.((
3720 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 insn[0].<<.24).>>.28);...return.
3740 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 5f 53 6c tie_t;.}..static.void.Field_t_Sl
3760 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 ot_inst16b_set.(xtensa_insnbuf.i
3780 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
37a0 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a t;...tie_t.=.(val.<<.28).>>.28;.
37c0 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 ..insn[0].=.(insn[0].&.~0xf0).|.
37e0 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 (tie_t.<<.4);.}..static.unsigned
3800 0a 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 .Field_r_Slot_inst16b_get.(const
3820 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
3840 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
3860 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a <.4).|.((insn[0].<<.16).>>.28);.
3880 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
38a0 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f ield_r_Slot_inst16b_set.(xtensa_
38c0 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 insnbuf.insn,.uint32.val).{...ui
38e0 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 nt32.tie_t;...tie_t.=.(val.<<.28
3900 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 ).>>.28;...insn[0].=.(insn[0].&.
3920 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 ~0xf000).|.(tie_t.<<.12);.}..sta
3940 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 tic.unsigned.Field_op0_Slot_inst
3960 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 16b_get.(const.xtensa_insnbuf.in
3980 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 sn).{...unsigned.tie_t.=.0;...ti
39a0 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c e_t.=.(tie_t.<<.4).|.((insn[0].<
39c0 3c 20 32 38 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a <.28).>>.28);...return.tie_t;.}.
39e0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 .static.void.Field_op0_Slot_inst
3a00 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 16b_set.(xtensa_insnbuf.insn,.ui
3a20 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
3a40 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.28).>>.28;...insn[
3a60 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 29 20 7c 20 28 74 69 65 5f 74 20 3c 0].=.(insn[0].&.~0xf).|.(tie_t.<
3a80 3c 20 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 7a <.0);.}..static.unsigned.Field_z
3aa0 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f _Slot_inst16b_get.(const.xtensa_
3ac0 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 insnbuf.insn).{...unsigned.tie_t
3ae0 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 .=.0;...tie_t.=.(tie_t.<<.1).|.(
3b00 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e (insn[0].<<.25).>>.31);...return
3b20 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 7a 5f 53 .tie_t;.}..static.void.Field_z_S
3b40 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst16b_set.(xtensa_insnbuf.
3b60 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 insn,.uint32.val).{...uint32.tie
3b80 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b _t;...tie_t.=.(val.<<.31).>>.31;
3ba0 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 34 30 29 20 7c ...insn[0].=.(insn[0].&.~0x40).|
3bc0 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 .(tie_t.<<.6);.}..static.unsigne
3be0 64 0a 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 d.Field_i_Slot_inst16b_get.(cons
3c00 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 t.xtensa_insnbuf.insn).{...unsig
3c20 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 ned.tie_t.=.0;...tie_t.=.(tie_t.
3c40 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 31 29 3b <<.1).|.((insn[0].<<.24).>>.31);
3c60 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a ...return.tie_t;.}..static.void.
3c80 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 Field_i_Slot_inst16b_set.(xtensa
3ca0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
3cc0 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 int32.tie_t;...tie_t.=.(val.<<.3
3ce0 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 1).>>.31;...insn[0].=.(insn[0].&
3d00 20 7e 30 78 38 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 37 29 3b 0a 7d 0a 0a 73 74 61 74 69 .~0x80).|.(tie_t.<<.7);.}..stati
3d20 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f c.unsigned.Field_s_Slot_inst16b_
3d40 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a get.(const.xtensa_insnbuf.insn).
3d60 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 {...unsigned.tie_t.=.0;...tie_t.
3d80 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 =.(tie_t.<<.4).|.((insn[0].<<.20
3da0 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 ).>>.28);...return.tie_t;.}..sta
3dc0 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 tic.void.Field_s_Slot_inst16b_se
3de0 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 t.(xtensa_insnbuf.insn,.uint32.v
3e00 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 al).{...uint32.tie_t;...tie_t.=.
3e20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 (val.<<.28).>>.28;...insn[0].=.(
3e40 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 insn[0].&.~0xf00).|.(tie_t.<<.8)
3e60 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 74 5f 53 6c 6f ;.}..static.unsigned.Field_t_Slo
3e80 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e t_inst16a_get.(const.xtensa_insn
3ea0 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 buf.insn).{...unsigned.tie_t.=.0
3ec0 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 ;...tie_t.=.(tie_t.<<.4).|.((ins
3ee0 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 n[0].<<.24).>>.28);...return.tie
3f00 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f _t;.}..static.void.Field_t_Slot_
3f20 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e inst16a_set.(xtensa_insnbuf.insn
3f40 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a ,.uint32.val).{...uint32.tie_t;.
3f60 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 ..tie_t.=.(val.<<.28).>>.28;...i
3f80 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 nsn[0].=.(insn[0].&.~0xf0).|.(ti
3fa0 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 e_t.<<.4);.}..static.unsigned.Fi
3fc0 65 6c 64 5f 62 62 69 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 eld_bbi4_Slot_inst_get.(const.xt
3fe0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 ensa_insnbuf.insn).{...unsigned.
4000 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 tie_t.=.0;...tie_t.=.(tie_t.<<.1
4020 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 39 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 ).|.((insn[0].<<.19).>>.31);...r
4040 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c eturn.tie_t;.}..static.void.Fiel
4060 64 5f 62 62 69 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 d_bbi4_Slot_inst_set.(xtensa_ins
4080 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
40a0 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 2.tie_t;...tie_t.=.(val.<<.31).>
40c0 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.31;...insn[0].=.(insn[0].&.~0x
40e0 31 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 1000).|.(tie_t.<<.12);.}..static
4100 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 62 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .unsigned.Field_bbi_Slot_inst_ge
4120 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a t.(const.xtensa_insnbuf.insn).{.
4140 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 ..unsigned.tie_t.=.0;...tie_t.=.
4160 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 39 29 20 (tie_t.<<.1).|.((insn[0].<<.19).
4180 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c >>.31);...tie_t.=.(tie_t.<<.4).|
41a0 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 .((insn[0].<<.24).>>.28);...retu
41c0 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 62 rn.tie_t;.}..static.void.Field_b
41e0 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 bi_Slot_inst_set.(xtensa_insnbuf
4200 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
4220 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 e_t;...tie_t.=.(val.<<.28).>>.28
4240 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 ;...insn[0].=.(insn[0].&.~0xf0).
4260 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c |.(tie_t.<<.4);...tie_t.=.(val.<
4280 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.27).>>.31;...insn[0].=.(insn[0
42a0 5d 20 26 20 7e 30 78 31 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a ].&.~0x1000).|.(tie_t.<<.12);.}.
42c0 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 32 5f 53 6c 6f .static.unsigned.Field_imm12_Slo
42e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
4300 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
4320 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 20 7c 20 28 28 69 6e 73 6e 5b .tie_t.=.(tie_t.<<.12).|.((insn[
4340 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 32 30 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0].<<.8).>>.20);...return.tie_t;
4360 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 32 5f 53 6c 6f 74 .}..static.void.Field_imm12_Slot
4380 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 _inst_set.(xtensa_insnbuf.insn,.
43a0 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 uint32.val).{...uint32.tie_t;...
43c0 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 30 29 20 3e 3e 20 32 30 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.20).>>.20;...ins
43e0 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 30 30 30 29 20 7c 20 28 n[0].=.(insn[0].&.~0xfff000).|.(
4400 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 tie_t.<<.12);.}..static.unsigned
4420 0a 46 69 65 6c 64 5f 69 6d 6d 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 .Field_imm8_Slot_inst_get.(const
4440 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
4460 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
4480 3c 20 38 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 32 34 29 3b 0a 20 <.8).|.((insn[0].<<.8).>>.24);..
44a0 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 .return.tie_t;.}..static.void.Fi
44c0 65 6c 64 5f 69 6d 6d 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 eld_imm8_Slot_inst_set.(xtensa_i
44e0 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e nsnbuf.insn,.uint32.val).{...uin
4500 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 t32.tie_t;...tie_t.=.(val.<<.24)
4520 20 3e 3e 20 32 34 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e .>>.24;...insn[0].=.(insn[0].&.~
4540 30 78 66 66 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 36 29 3b 0a 7d 0a 0a 73 74 0xff0000).|.(tie_t.<<.16);.}..st
4560 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 atic.unsigned.Field_s_Slot_inst1
4580 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6a_get.(const.xtensa_insnbuf.ins
45a0 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 n).{...unsigned.tie_t.=.0;...tie
45c0 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
45e0 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a .20).>>.28);...return.tie_t;.}..
4600 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 static.void.Field_s_Slot_inst16a
4620 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 _set.(xtensa_insnbuf.insn,.uint3
4640 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 2.val).{...uint32.tie_t;...tie_t
4660 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 .=.(val.<<.28).>>.28;...insn[0].
4680 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c =.(insn[0].&.~0xf00).|.(tie_t.<<
46a0 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d .8);.}..static.unsigned.Field_im
46c0 6d 31 32 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 m12b_Slot_inst_get.(const.xtensa
46e0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f _insnbuf.insn).{...unsigned.tie_
4700 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 t.=.0;...tie_t.=.(tie_t.<<.4).|.
4720 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 ((insn[0].<<.20).>>.28);...tie_t
4740 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 .=.(tie_t.<<.8).|.((insn[0].<<.8
4760 29 20 3e 3e 20 32 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 ).>>.24);...return.tie_t;.}..sta
4780 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 32 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f tic.void.Field_imm12b_Slot_inst_
47a0 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 set.(xtensa_insnbuf.insn,.uint32
47c0 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 .val).{...uint32.tie_t;...tie_t.
47e0 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 34 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d =.(val.<<.24).>>.24;...insn[0].=
4800 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 .(insn[0].&.~0xff0000).|.(tie_t.
4820 3c 3c 20 31 36 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 30 29 20 3e 3e <<.16);...tie_t.=.(val.<<.20).>>
4840 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 .28;...insn[0].=.(insn[0].&.~0xf
4860 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 00).|.(tie_t.<<.8);.}..static.un
4880 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 signed.Field_imm16_Slot_inst_get
48a0 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 .(const.xtensa_insnbuf.insn).{..
48c0 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 .unsigned.tie_t.=.0;...tie_t.=.(
48e0 74 69 65 5f 74 20 3c 3c 20 31 36 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 29 20 3e tie_t.<<.16).|.((insn[0].<<.8).>
4900 3e 20 31 36 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 >.16);...return.tie_t;.}..static
4920 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 .void.Field_imm16_Slot_inst_set.
4940 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c (xtensa_insnbuf.insn,.uint32.val
4960 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 ).{...uint32.tie_t;...tie_t.=.(v
4980 61 6c 20 3c 3c 20 31 36 29 20 3e 3e 20 31 36 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e al.<<.16).>>.16;...insn[0].=.(in
49a0 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 sn[0].&.~0xffff00).|.(tie_t.<<.8
49c0 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 66 66 73 );.}..static.unsigned.Field_offs
49e0 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 et_Slot_inst_get.(const.xtensa_i
4a00 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 nsnbuf.insn).{...unsigned.tie_t.
4a20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 38 29 20 7c 20 28 =.0;...tie_t.=.(tie_t.<<.18).|.(
4a40 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 31 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 (insn[0].<<.8).>>.14);...return.
4a60 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 6f 66 66 73 tie_t;.}..static.void.Field_offs
4a80 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 et_Slot_inst_set.(xtensa_insnbuf
4aa0 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
4ac0 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 31 34 29 20 3e 3e 20 31 34 e_t;...tie_t.=.(val.<<.14).>>.14
4ae0 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 ;...insn[0].=.(insn[0].&.~0xffff
4b00 63 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e c0).|.(tie_t.<<.6);.}..static.un
4b20 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 signed.Field_r_Slot_inst16a_get.
4b40 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 (const.xtensa_insnbuf.insn).{...
4b60 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 unsigned.tie_t.=.0;...tie_t.=.(t
4b80 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e ie_t.<<.4).|.((insn[0].<<.16).>>
4ba0 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .28);...return.tie_t;.}..static.
4bc0 76 6f 69 64 0a 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 void.Field_r_Slot_inst16a_set.(x
4be0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a tensa_insnbuf.insn,.uint32.val).
4c00 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c {...uint32.tie_t;...tie_t.=.(val
4c20 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e .<<.28).>>.28;...insn[0].=.(insn
4c40 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a [0].&.~0xf000).|.(tie_t.<<.12);.
4c60 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 34 5f 53 6c 6f }..static.unsigned.Field_sa4_Slo
4c80 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
4ca0 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
4cc0 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 .tie_t.=.(tie_t.<<.1).|.((insn[0
4ce0 5d 20 3c 3c 20 31 31 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b ].<<.11).>>.31);...return.tie_t;
4d00 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 61 34 5f 53 6c 6f 74 5f 69 .}..static.void.Field_sa4_Slot_i
4d20 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 nst_set.(xtensa_insnbuf.insn,.ui
4d40 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
4d60 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.31).>>.31;...insn[
4d80 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 30 30 30 29 20 7c 20 28 74 69 0].=.(insn[0].&.~0x100000).|.(ti
4da0 65 5f 74 20 3c 3c 20 32 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 e_t.<<.20);.}..static.unsigned.F
4dc0 69 65 6c 64 5f 73 61 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 ield_sae4_Slot_inst_get.(const.x
4de0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 tensa_insnbuf.insn).{...unsigned
4e00 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 .tie_t.=.0;...tie_t.=.(tie_t.<<.
4e20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 35 29 20 3e 3e 20 33 31 29 3b 0a 20 20 1).|.((insn[0].<<.15).>>.31);...
4e40 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 return.tie_t;.}..static.void.Fie
4e60 6c 64 5f 73 61 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e ld_sae4_Slot_inst_set.(xtensa_in
4e80 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 snbuf.insn,.uint32.val).{...uint
4ea0 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 32.tie_t;...tie_t.=.(val.<<.31).
4ec0 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 >>.31;...insn[0].=.(insn[0].&.~0
4ee0 78 31 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 36 29 3b 0a 7d 0a 0a 73 74 61 74 x10000).|.(tie_t.<<.16);.}..stat
4f00 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f ic.unsigned.Field_sae_Slot_inst_
4f20 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a get.(const.xtensa_insnbuf.insn).
4f40 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 {...unsigned.tie_t.=.0;...tie_t.
4f60 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 35 =.(tie_t.<<.1).|.((insn[0].<<.15
4f80 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 ).>>.31);...tie_t.=.(tie_t.<<.4)
4fa0 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 .|.((insn[0].<<.20).>>.28);...re
4fc0 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 turn.tie_t;.}..static.void.Field
4fe0 5f 73 61 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 _sae_Slot_inst_set.(xtensa_insnb
5000 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 uf.insn,.uint32.val).{...uint32.
5020 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 tie_t;...tie_t.=.(val.<<.28).>>.
5040 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 28;...insn[0].=.(insn[0].&.~0xf0
5060 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 0).|.(tie_t.<<.8);...tie_t.=.(va
5080 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 l.<<.27).>>.31;...insn[0].=.(ins
50a0 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 36 29 n[0].&.~0x10000).|.(tie_t.<<.16)
50c0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 6c 5f 53 ;.}..static.unsigned.Field_sal_S
50e0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 lot_inst_get.(const.xtensa_insnb
5100 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b uf.insn).{...unsigned.tie_t.=.0;
5120 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e ...tie_t.=.(tie_t.<<.1).|.((insn
5140 5b 30 5d 20 3c 3c 20 31 31 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 [0].<<.11).>>.31);...tie_t.=.(ti
5160 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 e_t.<<.4).|.((insn[0].<<.24).>>.
5180 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 28);...return.tie_t;.}..static.v
51a0 6f 69 64 0a 46 69 65 6c 64 5f 73 61 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 oid.Field_sal_Slot_inst_set.(xte
51c0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a nsa_insnbuf.insn,.uint32.val).{.
51e0 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c ..uint32.tie_t;...tie_t.=.(val.<
5200 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.28).>>.28;...insn[0].=.(insn[0
5220 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 ].&.~0xf0).|.(tie_t.<<.4);...tie
5240 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 _t.=.(val.<<.27).>>.31;...insn[0
5260 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 30 30 30 29 20 7c 20 28 74 69 65 ].=.(insn[0].&.~0x100000).|.(tie
5280 5f 74 20 3c 3c 20 32 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 _t.<<.20);.}..static.unsigned.Fi
52a0 65 6c 64 5f 73 61 72 67 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 eld_sargt_Slot_inst_get.(const.x
52c0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 tensa_insnbuf.insn).{...unsigned
52e0 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 .tie_t.=.0;...tie_t.=.(tie_t.<<.
5300 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 31 29 20 3e 3e 20 33 31 29 3b 0a 20 20 1).|.((insn[0].<<.11).>>.31);...
5320 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d tie_t.=.(tie_t.<<.4).|.((insn[0]
5340 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a .<<.20).>>.28);...return.tie_t;.
5360 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 61 72 67 74 5f 53 6c 6f 74 5f }..static.void.Field_sargt_Slot_
5380 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 inst_set.(xtensa_insnbuf.insn,.u
53a0 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 int32.val).{...uint32.tie_t;...t
53c0 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e ie_t.=.(val.<<.28).>>.28;...insn
53e0 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f [0].=.(insn[0].&.~0xf00).|.(tie_
5400 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e t.<<.8);...tie_t.=.(val.<<.27).>
5420 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.31;...insn[0].=.(insn[0].&.~0x
5440 31 30 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 32 30 29 3b 0a 7d 0a 0a 73 74 61 74 100000).|.(tie_t.<<.20);.}..stat
5460 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 ic.unsigned.Field_sas4_Slot_inst
5480 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 _get.(const.xtensa_insnbuf.insn)
54a0 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 .{...unsigned.tie_t.=.0;...tie_t
54c0 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 .=.(tie_t.<<.1).|.((insn[0].<<.2
54e0 37 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 7).>>.31);...return.tie_t;.}..st
5500 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 61 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 atic.void.Field_sas4_Slot_inst_s
5520 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 et.(xtensa_insnbuf.insn,.uint32.
5540 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d val).{...uint32.tie_t;...tie_t.=
5560 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 .(val.<<.31).>>.31;...insn[0].=.
5580 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 (insn[0].&.~0x10).|.(tie_t.<<.4)
55a0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 73 5f 53 ;.}..static.unsigned.Field_sas_S
55c0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 lot_inst_get.(const.xtensa_insnb
55e0 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b uf.insn).{...unsigned.tie_t.=.0;
5600 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e ...tie_t.=.(tie_t.<<.1).|.((insn
5620 5b 30 5d 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 [0].<<.27).>>.31);...tie_t.=.(ti
5640 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 e_t.<<.4).|.((insn[0].<<.20).>>.
5660 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 28);...return.tie_t;.}..static.v
5680 6f 69 64 0a 46 69 65 6c 64 5f 73 61 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 oid.Field_sas_Slot_inst_set.(xte
56a0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a nsa_insnbuf.insn,.uint32.val).{.
56c0 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c ..uint32.tie_t;...tie_t.=.(val.<
56e0 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.28).>>.28;...insn[0].=.(insn[0
5700 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 ].&.~0xf00).|.(tie_t.<<.8);...ti
5720 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.27).>>.31;...insn[
5740 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 29 20 7c 20 28 74 69 65 5f 74 20 0].=.(insn[0].&.~0x10).|.(tie_t.
5760 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f <<.4);.}..static.unsigned.Field_
5780 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 sr_Slot_inst16a_get.(const.xtens
57a0 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 a_insnbuf.insn).{...unsigned.tie
57c0 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c _t.=.0;...tie_t.=.(tie_t.<<.4).|
57e0 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f .((insn[0].<<.16).>>.28);...tie_
5800 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 t.=.(tie_t.<<.4).|.((insn[0].<<.
5820 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 20).>>.28);...return.tie_t;.}..s
5840 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 tatic.void.Field_sr_Slot_inst16a
5860 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 _set.(xtensa_insnbuf.insn,.uint3
5880 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 2.val).{...uint32.tie_t;...tie_t
58a0 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 .=.(val.<<.28).>>.28;...insn[0].
58c0 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c =.(insn[0].&.~0xf00).|.(tie_t.<<
58e0 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 .8);...tie_t.=.(val.<<.24).>>.28
5900 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 ;...insn[0].=.(insn[0].&.~0xf000
5920 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 ).|.(tie_t.<<.12);.}..static.uns
5940 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 igned.Field_sr_Slot_inst16b_get.
5960 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 (const.xtensa_insnbuf.insn).{...
5980 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 unsigned.tie_t.=.0;...tie_t.=.(t
59a0 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e ie_t.<<.4).|.((insn[0].<<.16).>>
59c0 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 .28);...tie_t.=.(tie_t.<<.4).|.(
59e0 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e (insn[0].<<.20).>>.28);...return
5a00 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 72 5f .tie_t;.}..static.void.Field_sr_
5a20 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst16b_set.(xtensa_insnbuf
5a40 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
5a60 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 e_t;...tie_t.=.(val.<<.28).>>.28
5a80 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 ;...insn[0].=.(insn[0].&.~0xf00)
5aa0 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 .|.(tie_t.<<.8);...tie_t.=.(val.
5ac0 3c 3c 20 32 34 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b <<.24).>>.28;...insn[0].=.(insn[
5ae0 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0].&.~0xf000).|.(tie_t.<<.12);.}
5b00 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f ..static.unsigned.Field_st_Slot_
5b20 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 inst16a_get.(const.xtensa_insnbu
5b40 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a f.insn).{...unsigned.tie_t.=.0;.
5b60 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b ..tie_t.=.(tie_t.<<.4).|.((insn[
5b80 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 0].<<.20).>>.28);...tie_t.=.(tie
5ba0 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 _t.<<.4).|.((insn[0].<<.24).>>.2
5bc0 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 8);...return.tie_t;.}..static.vo
5be0 69 64 0a 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 id.Field_st_Slot_inst16a_set.(xt
5c00 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b ensa_insnbuf.insn,.uint32.val).{
5c20 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 ...uint32.tie_t;...tie_t.=.(val.
5c40 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b <<.28).>>.28;...insn[0].=.(insn[
5c60 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 0].&.~0xf0).|.(tie_t.<<.4);...ti
5c80 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.24).>>.28;...insn[
5ca0 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 0].=.(insn[0].&.~0xf00).|.(tie_t
5cc0 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 .<<.8);.}..static.unsigned.Field
5ce0 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e _st_Slot_inst16b_get.(const.xten
5d00 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 sa_insnbuf.insn).{...unsigned.ti
5d20 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 e_t.=.0;...tie_t.=.(tie_t.<<.4).
5d40 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 |.((insn[0].<<.20).>>.28);...tie
5d60 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
5d80 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a .24).>>.28);...return.tie_t;.}..
5da0 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 static.void.Field_st_Slot_inst16
5dc0 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 b_set.(xtensa_insnbuf.insn,.uint
5de0 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 32.val).{...uint32.tie_t;...tie_
5e00 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d t.=.(val.<<.28).>>.28;...insn[0]
5e20 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c .=.(insn[0].&.~0xf0).|.(tie_t.<<
5e40 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 .4);...tie_t.=.(val.<<.24).>>.28
5e60 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 ;...insn[0].=.(insn[0].&.~0xf00)
5e80 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .|.(tie_t.<<.8);.}..static.unsig
5ea0 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f ned.Field_imm4_Slot_inst_get.(co
5ec0 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 nst.xtensa_insnbuf.insn).{...uns
5ee0 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f igned.tie_t.=.0;...tie_t.=.(tie_
5f00 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 t.<<.4).|.((insn[0].<<.16).>>.28
5f20 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 );...return.tie_t;.}..static.voi
5f40 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e d.Field_imm4_Slot_inst_set.(xten
5f60 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 sa_insnbuf.insn,.uint32.val).{..
5f80 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c .uint32.tie_t;...tie_t.=.(val.<<
5fa0 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d .28).>>.28;...insn[0].=.(insn[0]
5fc0 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a .&.~0xf000).|.(tie_t.<<.12);.}..
5fe0 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f static.unsigned.Field_imm4_Slot_
6000 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 inst16a_get.(const.xtensa_insnbu
6020 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a f.insn).{...unsigned.tie_t.=.0;.
6040 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b ..tie_t.=.(tie_t.<<.4).|.((insn[
6060 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 0].<<.16).>>.28);...return.tie_t
6080 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 ;.}..static.void.Field_imm4_Slot
60a0 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 _inst16a_set.(xtensa_insnbuf.ins
60c0 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b n,.uint32.val).{...uint32.tie_t;
60e0 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 ...tie_t.=.(val.<<.28).>>.28;...
6100 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 insn[0].=.(insn[0].&.~0xf000).|.
6120 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 (tie_t.<<.12);.}..static.unsigne
6140 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 d.Field_imm4_Slot_inst16b_get.(c
6160 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e onst.xtensa_insnbuf.insn).{...un
6180 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 signed.tie_t.=.0;...tie_t.=.(tie
61a0 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 _t.<<.4).|.((insn[0].<<.16).>>.2
61c0 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 8);...return.tie_t;.}..static.vo
61e0 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 id.Field_imm4_Slot_inst16b_set.(
6200 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 xtensa_insnbuf.insn,.uint32.val)
6220 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 .{...uint32.tie_t;...tie_t.=.(va
6240 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 l.<<.28).>>.28;...insn[0].=.(ins
6260 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b n[0].&.~0xf000).|.(tie_t.<<.12);
6280 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6d 6e 5f 53 6c 6f .}..static.unsigned.Field_mn_Slo
62a0 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
62c0 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
62e0 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 .tie_t.=.(tie_t.<<.2).|.((insn[0
6300 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 30 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f ].<<.24).>>.30);...tie_t.=.(tie_
6320 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 t.<<.2).|.((insn[0].<<.26).>>.30
6340 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 );...return.tie_t;.}..static.voi
6360 64 0a 46 69 65 6c 64 5f 6d 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 d.Field_mn_Slot_inst_set.(xtensa
6380 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
63a0 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 int32.tie_t;...tie_t.=.(val.<<.3
63c0 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 0).>>.30;...insn[0].=.(insn[0].&
63e0 20 7e 30 78 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 .~0x30).|.(tie_t.<<.4);...tie_t.
6400 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d =.(val.<<.28).>>.30;...insn[0].=
6420 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 63 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 .(insn[0].&.~0xc0).|.(tie_t.<<.6
6440 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 5f 53 6c );.}..static.unsigned.Field_i_Sl
6460 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 ot_inst16a_get.(const.xtensa_ins
6480 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 nbuf.insn).{...unsigned.tie_t.=.
64a0 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 0;...tie_t.=.(tie_t.<<.1).|.((in
64c0 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 sn[0].<<.24).>>.31);...return.ti
64e0 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 e_t;.}..static.void.Field_i_Slot
6500 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 _inst16a_set.(xtensa_insnbuf.ins
6520 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b n,.uint32.val).{...uint32.tie_t;
6540 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 ...tie_t.=.(val.<<.31).>>.31;...
6560 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 38 30 29 20 7c 20 28 74 insn[0].=.(insn[0].&.~0x80).|.(t
6580 69 65 5f 74 20 3c 3c 20 37 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 ie_t.<<.7);.}..static.unsigned.F
65a0 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f ield_imm6lo_Slot_inst16a_get.(co
65c0 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 nst.xtensa_insnbuf.insn).{...uns
65e0 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f igned.tie_t.=.0;...tie_t.=.(tie_
6600 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 t.<<.4).|.((insn[0].<<.16).>>.28
6620 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 );...return.tie_t;.}..static.voi
6640 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 d.Field_imm6lo_Slot_inst16a_set.
6660 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c (xtensa_insnbuf.insn,.uint32.val
6680 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 ).{...uint32.tie_t;...tie_t.=.(v
66a0 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e al.<<.28).>>.28;...insn[0].=.(in
66c0 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 sn[0].&.~0xf000).|.(tie_t.<<.12)
66e0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 6c ;.}..static.unsigned.Field_imm6l
6700 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 o_Slot_inst16b_get.(const.xtensa
6720 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f _insnbuf.insn).{...unsigned.tie_
6740 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 t.=.0;...tie_t.=.(tie_t.<<.4).|.
6760 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 ((insn[0].<<.16).>>.28);...retur
6780 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d n.tie_t;.}..static.void.Field_im
67a0 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e m6lo_Slot_inst16b_set.(xtensa_in
67c0 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 snbuf.insn,.uint32.val).{...uint
67e0 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 32.tie_t;...tie_t.=.(val.<<.28).
6800 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 >>.28;...insn[0].=.(insn[0].&.~0
6820 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 xf000).|.(tie_t.<<.12);.}..stati
6840 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 c.unsigned.Field_imm6hi_Slot_ins
6860 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 t16a_get.(const.xtensa_insnbuf.i
6880 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 nsn).{...unsigned.tie_t.=.0;...t
68a0 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 ie_t.=.(tie_t.<<.2).|.((insn[0].
68c0 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d <<.26).>>.30);...return.tie_t;.}
68e0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f ..static.void.Field_imm6hi_Slot_
6900 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e inst16a_set.(xtensa_insnbuf.insn
6920 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a ,.uint32.val).{...uint32.tie_t;.
6940 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 ..tie_t.=.(val.<<.30).>>.30;...i
6960 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 33 30 29 20 7c 20 28 74 69 nsn[0].=.(insn[0].&.~0x30).|.(ti
6980 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 e_t.<<.4);.}..static.unsigned.Fi
69a0 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e eld_imm6hi_Slot_inst16b_get.(con
69c0 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 st.xtensa_insnbuf.insn).{...unsi
69e0 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 gned.tie_t.=.0;...tie_t.=.(tie_t
6a00 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 .<<.2).|.((insn[0].<<.26).>>.30)
6a20 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 ;...return.tie_t;.}..static.void
6a40 0a 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 .Field_imm6hi_Slot_inst16b_set.(
6a60 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 xtensa_insnbuf.insn,.uint32.val)
6a80 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 .{...uint32.tie_t;...tie_t.=.(va
6aa0 6c 20 3c 3c 20 33 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 l.<<.30).>>.30;...insn[0].=.(ins
6ac0 6e 5b 30 5d 20 26 20 7e 30 78 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a n[0].&.~0x30).|.(tie_t.<<.4);.}.
6ae0 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c .static.unsigned.Field_imm7lo_Sl
6b00 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 ot_inst16a_get.(const.xtensa_ins
6b20 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 nbuf.insn).{...unsigned.tie_t.=.
6b40 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 0;...tie_t.=.(tie_t.<<.4).|.((in
6b60 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 sn[0].<<.16).>>.28);...return.ti
6b80 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f e_t;.}..static.void.Field_imm7lo
6ba0 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst16a_set.(xtensa_insnbu
6bc0 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 f.insn,.uint32.val).{...uint32.t
6be0 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 ie_t;...tie_t.=.(val.<<.28).>>.2
6c00 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 8;...insn[0].=.(insn[0].&.~0xf00
6c20 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 0).|.(tie_t.<<.12);.}..static.un
6c40 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 signed.Field_imm7lo_Slot_inst16b
6c60 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 _get.(const.xtensa_insnbuf.insn)
6c80 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 .{...unsigned.tie_t.=.0;...tie_t
6ca0 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 .=.(tie_t.<<.4).|.((insn[0].<<.1
6cc0 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 6).>>.28);...return.tie_t;.}..st
6ce0 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 atic.void.Field_imm7lo_Slot_inst
6d00 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 16b_set.(xtensa_insnbuf.insn,.ui
6d20 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
6d40 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.28).>>.28;...insn[
6d60 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 0].=.(insn[0].&.~0xf000).|.(tie_
6d80 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 t.<<.12);.}..static.unsigned.Fie
6da0 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 ld_imm7hi_Slot_inst16a_get.(cons
6dc0 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 t.xtensa_insnbuf.insn).{...unsig
6de0 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 ned.tie_t.=.0;...tie_t.=.(tie_t.
6e00 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 32 39 29 3b <<.3).|.((insn[0].<<.25).>>.29);
6e20 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a ...return.tie_t;.}..static.void.
6e40 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 Field_imm7hi_Slot_inst16a_set.(x
6e60 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a tensa_insnbuf.insn,.uint32.val).
6e80 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c {...uint32.tie_t;...tie_t.=.(val
6ea0 20 3c 3c 20 32 39 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e .<<.29).>>.29;...insn[0].=.(insn
6ec0 5b 30 5d 20 26 20 7e 30 78 37 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a [0].&.~0x70).|.(tie_t.<<.4);.}..
6ee0 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f static.unsigned.Field_imm7hi_Slo
6f00 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e t_inst16b_get.(const.xtensa_insn
6f20 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 buf.insn).{...unsigned.tie_t.=.0
6f40 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 ;...tie_t.=.(tie_t.<<.3).|.((ins
6f60 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 32 39 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 n[0].<<.25).>>.29);...return.tie
6f80 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f _t;.}..static.void.Field_imm7hi_
6fa0 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst16b_set.(xtensa_insnbuf
6fc0 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
6fe0 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 39 29 20 3e 3e 20 32 39 e_t;...tie_t.=.(val.<<.29).>>.29
7000 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 37 30 29 20 ;...insn[0].=.(insn[0].&.~0x70).
7020 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e |.(tie_t.<<.4);.}..static.unsign
7040 65 64 0a 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e ed.Field_z_Slot_inst16a_get.(con
7060 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 st.xtensa_insnbuf.insn).{...unsi
7080 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 gned.tie_t.=.0;...tie_t.=.(tie_t
70a0 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 33 31 29 .<<.1).|.((insn[0].<<.25).>>.31)
70c0 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 ;...return.tie_t;.}..static.void
70e0 0a 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 .Field_z_Slot_inst16a_set.(xtens
7100 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 a_insnbuf.insn,.uint32.val).{...
7120 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 uint32.tie_t;...tie_t.=.(val.<<.
7140 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 31).>>.31;...insn[0].=.(insn[0].
7160 26 20 7e 30 78 34 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 &.~0x40).|.(tie_t.<<.6);.}..stat
7180 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 ic.unsigned.Field_imm6_Slot_inst
71a0 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 16a_get.(const.xtensa_insnbuf.in
71c0 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 sn).{...unsigned.tie_t.=.0;...ti
71e0 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c e_t.=.(tie_t.<<.2).|.((insn[0].<
7200 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c <.26).>>.30);...tie_t.=.(tie_t.<
7220 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a <.4).|.((insn[0].<<.16).>>.28);.
7240 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
7260 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e ield_imm6_Slot_inst16a_set.(xten
7280 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 sa_insnbuf.insn,.uint32.val).{..
72a0 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c .uint32.tie_t;...tie_t.=.(val.<<
72c0 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d .28).>>.28;...insn[0].=.(insn[0]
72e0 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 20 20 74 .&.~0xf000).|.(tie_t.<<.12);...t
7300 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e ie_t.=.(val.<<.26).>>.30;...insn
7320 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 33 30 29 20 7c 20 28 74 69 65 5f 74 [0].=.(insn[0].&.~0x30).|.(tie_t
7340 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 .<<.4);.}..static.unsigned.Field
7360 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 _imm6_Slot_inst16b_get.(const.xt
7380 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 ensa_insnbuf.insn).{...unsigned.
73a0 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 tie_t.=.0;...tie_t.=.(tie_t.<<.2
73c0 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a 20 20 74 ).|.((insn[0].<<.26).>>.30);...t
73e0 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 ie_t.=.(tie_t.<<.4).|.((insn[0].
7400 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d <<.16).>>.28);...return.tie_t;.}
7420 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e ..static.void.Field_imm6_Slot_in
7440 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 st16b_set.(xtensa_insnbuf.insn,.
7460 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 uint32.val).{...uint32.tie_t;...
7480 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.28).>>.28;...ins
74a0 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 n[0].=.(insn[0].&.~0xf000).|.(ti
74c0 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 36 e_t.<<.12);...tie_t.=.(val.<<.26
74e0 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 ).>>.30;...insn[0].=.(insn[0].&.
7500 7e 30 78 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 ~0x30).|.(tie_t.<<.4);.}..static
7520 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 .unsigned.Field_imm7_Slot_inst16
7540 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e a_get.(const.xtensa_insnbuf.insn
7560 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f ).{...unsigned.tie_t.=.0;...tie_
7580 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 t.=.(tie_t.<<.3).|.((insn[0].<<.
75a0 32 35 29 20 3e 3e 20 32 39 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 25).>>.29);...tie_t.=.(tie_t.<<.
75c0 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 4).|.((insn[0].<<.16).>>.28);...
75e0 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 return.tie_t;.}..static.void.Fie
7600 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 ld_imm7_Slot_inst16a_set.(xtensa
7620 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
7640 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 int32.tie_t;...tie_t.=.(val.<<.2
7660 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 8).>>.28;...insn[0].=.(insn[0].&
7680 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 20 20 74 69 65 .~0xf000).|.(tie_t.<<.12);...tie
76a0 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 35 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 _t.=.(val.<<.25).>>.29;...insn[0
76c0 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 37 30 29 20 7c 20 28 74 69 65 5f 74 20 3c ].=.(insn[0].&.~0x70).|.(tie_t.<
76e0 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 <.4);.}..static.unsigned.Field_i
7700 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e mm7_Slot_inst16b_get.(const.xten
7720 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 sa_insnbuf.insn).{...unsigned.ti
7740 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 e_t.=.0;...tie_t.=.(tie_t.<<.3).
7760 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 32 39 29 3b 0a 20 20 74 69 65 |.((insn[0].<<.25).>>.29);...tie
7780 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
77a0 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a .16).>>.28);...return.tie_t;.}..
77c0 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 static.void.Field_imm7_Slot_inst
77e0 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 16b_set.(xtensa_insnbuf.insn,.ui
7800 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
7820 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.28).>>.28;...insn[
7840 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 0].=.(insn[0].&.~0xf000).|.(tie_
7860 74 20 3c 3c 20 31 32 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 35 29 20 t.<<.12);...tie_t.=.(val.<<.25).
7880 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 >>.29;...insn[0].=.(insn[0].&.~0
78a0 78 37 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 x70).|.(tie_t.<<.4);.}..static.u
78c0 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 72 62 69 74 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 nsigned.Field_rbit2_Slot_inst_ge
78e0 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a t.(const.xtensa_insnbuf.insn).{.
7900 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 ..unsigned.tie_t.=.0;...tie_t.=.
7920 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 37 29 20 (tie_t.<<.1).|.((insn[0].<<.17).
7940 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 >>.31);...return.tie_t;.}..stati
7960 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 72 62 69 74 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 c.void.Field_rbit2_Slot_inst_set
7980 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 .(xtensa_insnbuf.insn,.uint32.va
79a0 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 l).{...uint32.tie_t;...tie_t.=.(
79c0 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 val.<<.31).>>.31;...insn[0].=.(i
79e0 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 34 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 34 nsn[0].&.~0x4000).|.(tie_t.<<.14
7a00 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 74 62 69 74 );.}..static.unsigned.Field_tbit
7a20 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 2_Slot_inst_get.(const.xtensa_in
7a40 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d snbuf.insn).{...unsigned.tie_t.=
7a60 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 .0;...tie_t.=.(tie_t.<<.1).|.((i
7a80 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 nsn[0].<<.25).>>.31);...return.t
7aa0 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 62 69 74 32 ie_t;.}..static.void.Field_tbit2
7ac0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 _Slot_inst_set.(xtensa_insnbuf.i
7ae0 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
7b00 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a t;...tie_t.=.(val.<<.31).>>.31;.
7b20 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 34 30 29 20 7c 20 ..insn[0].=.(insn[0].&.~0x40).|.
7b40 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 (tie_t.<<.6);.}..static.unsigned
7b60 0a 46 69 65 6c 64 5f 79 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 .Field_y_Slot_inst_get.(const.xt
7b80 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 ensa_insnbuf.insn).{...unsigned.
7ba0 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 tie_t.=.0;...tie_t.=.(tie_t.<<.1
7bc0 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 ).|.((insn[0].<<.25).>>.31);...r
7be0 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c eturn.tie_t;.}..static.void.Fiel
7c00 64 5f 79 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 d_y_Slot_inst_set.(xtensa_insnbu
7c20 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 f.insn,.uint32.val).{...uint32.t
7c40 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 ie_t;...tie_t.=.(val.<<.31).>>.3
7c60 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 34 30 29 1;...insn[0].=.(insn[0].&.~0x40)
7c80 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .|.(tie_t.<<.6);.}..static.unsig
7ca0 6e 65 64 0a 46 69 65 6c 64 5f 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 ned.Field_x_Slot_inst_get.(const
7cc0 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
7ce0 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
7d00 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 37 29 20 3e 3e 20 33 31 29 3b 0a <.1).|.((insn[0].<<.17).>>.31);.
7d20 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
7d40 69 65 6c 64 5f 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 ield_x_Slot_inst_set.(xtensa_ins
7d60 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
7d80 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 2.tie_t;...tie_t.=.(val.<<.31).>
7da0 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.31;...insn[0].=.(insn[0].&.~0x
7dc0 34 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 4000).|.(tie_t.<<.14);.}..static
7de0 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 35 5f 69 6d 6d 5f 53 6c 6f .unsigned.Field_xt_wbr15_imm_Slo
7e00 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
7e20 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
7e40 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 35 29 20 7c 20 28 28 69 6e 73 6e 5b .tie_t.=.(tie_t.<<.15).|.((insn[
7e60 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 31 37 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0].<<.8).>>.17);...return.tie_t;
7e80 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 35 5f 69 .}..static.void.Field_xt_wbr15_i
7ea0 6d 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 mm_Slot_inst_set.(xtensa_insnbuf
7ec0 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
7ee0 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 31 37 29 20 3e 3e 20 31 37 e_t;...tie_t.=.(val.<<.17).>>.17
7f00 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 65 ;...insn[0].=.(insn[0].&.~0xfffe
7f20 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 39 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 00).|.(tie_t.<<.9);.}..static.un
7f40 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 38 5f 69 6d 6d 5f 53 6c 6f 74 5f 69 signed.Field_xt_wbr18_imm_Slot_i
7f60 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e nst_get.(const.xtensa_insnbuf.in
7f80 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 sn).{...unsigned.tie_t.=.0;...ti
7fa0 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 38 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 e_t.=.(tie_t.<<.18).|.((insn[0].
7fc0 3c 3c 20 38 29 20 3e 3e 20 31 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a <<.8).>>.14);...return.tie_t;.}.
7fe0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 38 5f 69 6d 6d 5f .static.void.Field_xt_wbr18_imm_
8000 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e Slot_inst_set.(xtensa_insnbuf.in
8020 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 sn,.uint32.val).{...uint32.tie_t
8040 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 31 34 29 20 3e 3e 20 31 34 3b 0a 20 ;...tie_t.=.(val.<<.14).>>.14;..
8060 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 63 30 29 .insn[0].=.(insn[0].&.~0xffffc0)
8080 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .|.(tie_t.<<.6);.}..static.unsig
80a0 6e 65 64 0a 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ned.Field_bitindex_Slot_inst_get
80c0 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 .(const.xtensa_insnbuf.insn).{..
80e0 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 .unsigned.tie_t.=.0;...tie_t.=.(
8100 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 33 29 20 3e tie_t.<<.1).|.((insn[0].<<.23).>
8120 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 >.31);...tie_t.=.(tie_t.<<.4).|.
8140 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 ((insn[0].<<.24).>>.28);...retur
8160 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 62 69 n.tie_t;.}..static.void.Field_bi
8180 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 tindex_Slot_inst_set.(xtensa_ins
81a0 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
81c0 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 2.tie_t;...tie_t.=.(val.<<.28).>
81e0 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.28;...insn[0].=.(insn[0].&.~0x
8200 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 f0).|.(tie_t.<<.4);...tie_t.=.(v
8220 61 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e al.<<.27).>>.31;...insn[0].=.(in
8240 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a sn[0].&.~0x100).|.(tie_t.<<.8);.
8260 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 }..static.unsigned.Field_bitinde
8280 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 x_Slot_inst16a_get.(const.xtensa
82a0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f _insnbuf.insn).{...unsigned.tie_
82c0 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 t.=.0;...tie_t.=.(tie_t.<<.1).|.
82e0 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 33 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 ((insn[0].<<.23).>>.31);...tie_t
8300 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 .=.(tie_t.<<.4).|.((insn[0].<<.2
8320 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 4).>>.28);...return.tie_t;.}..st
8340 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e atic.void.Field_bitindex_Slot_in
8360 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 st16a_set.(xtensa_insnbuf.insn,.
8380 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 uint32.val).{...uint32.tie_t;...
83a0 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.28).>>.28;...ins
83c0 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f n[0].=.(insn[0].&.~0xf0).|.(tie_
83e0 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e t.<<.4);...tie_t.=.(val.<<.27).>
8400 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.31;...insn[0].=.(insn[0].&.~0x
8420 31 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 100).|.(tie_t.<<.8);.}..static.u
8440 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 nsigned.Field_bitindex_Slot_inst
8460 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 16b_get.(const.xtensa_insnbuf.in
8480 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 sn).{...unsigned.tie_t.=.0;...ti
84a0 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c e_t.=.(tie_t.<<.1).|.((insn[0].<
84c0 3c 20 32 33 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c <.23).>>.31);...tie_t.=.(tie_t.<
84e0 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a <.4).|.((insn[0].<<.24).>>.28);.
8500 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
8520 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 ield_bitindex_Slot_inst16b_set.(
8540 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 xtensa_insnbuf.insn,.uint32.val)
8560 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 .{...uint32.tie_t;...tie_t.=.(va
8580 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 l.<<.28).>>.28;...insn[0].=.(ins
85a0 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 n[0].&.~0xf0).|.(tie_t.<<.4);...
85c0 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.27).>>.31;...ins
85e0 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 29 20 7c 20 28 74 69 65 n[0].=.(insn[0].&.~0x100).|.(tie
8600 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 _t.<<.8);.}..static.unsigned.Fie
8620 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 ld_s3to1_Slot_inst16a_get.(const
8640 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
8660 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
8680 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 39 29 3b 0a <.3).|.((insn[0].<<.20).>>.29);.
86a0 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
86c0 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 ield_s3to1_Slot_inst16a_set.(xte
86e0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a nsa_insnbuf.insn,.uint32.val).{.
8700 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c ..uint32.tie_t;...tie_t.=.(val.<
8720 3c 20 32 39 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.29).>>.29;...insn[0].=.(insn[0
8740 5d 20 26 20 7e 30 78 65 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 39 29 3b 0a 7d 0a 0a 73 ].&.~0xe00).|.(tie_t.<<.9);.}..s
8760 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f tatic.unsigned.Field_s3to1_Slot_
8780 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 inst16b_get.(const.xtensa_insnbu
87a0 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a f.insn).{...unsigned.tie_t.=.0;.
87c0 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b ..tie_t.=.(tie_t.<<.3).|.((insn[
87e0 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 39 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 0].<<.20).>>.29);...return.tie_t
8800 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f ;.}..static.void.Field_s3to1_Slo
8820 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e t_inst16b_set.(xtensa_insnbuf.in
8840 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 sn,.uint32.val).{...uint32.tie_t
8860 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 39 29 20 3e 3e 20 32 39 3b 0a 20 ;...tie_t.=.(val.<<.29).>>.29;..
8880 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 65 30 30 29 20 7c 20 .insn[0].=.(insn[0].&.~0xe00).|.
88a0 28 74 69 65 5f 74 20 3c 3c 20 39 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 49 6d 70 (tie_t.<<.9);.}..static.void.Imp
88c0 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 licit_Field_set.(xtensa_insnbuf.
88e0 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 2c 0a 09 09 20 20 20 20 75 69 6e insn.ATTRIBUTE_UNUSED,.......uin
8900 74 33 32 20 76 61 6c 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 2f 2a t32.val.ATTRIBUTE_UNUSED).{.../*
8920 20 44 6f 20 6e 6f 74 68 69 6e 67 2e 20 20 2a 2f 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .Do.nothing...*/.}..static.unsig
8940 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 30 5f 67 65 74 20 28 63 6f 6e 73 ned.Implicit_Field_ar0_get.(cons
8960 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f t.xtensa_insnbuf.insn.ATTRIBUTE_
8980 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 UNUSED).{...return.0;.}..static.
89a0 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 34 5f 67 65 74 20 unsigned.Implicit_Field_ar4_get.
89c0 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 (const.xtensa_insnbuf.insn.ATTRI
89e0 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 34 3b 0a 7d 0a 0a 73 74 BUTE_UNUSED).{...return.4;.}..st
8a00 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 38 atic.unsigned.Implicit_Field_ar8
8a20 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 _get.(const.xtensa_insnbuf.insn.
8a40 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 38 3b 0a ATTRIBUTE_UNUSED).{...return.8;.
8a60 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c }..static.unsigned.Implicit_Fiel
8a80 64 5f 61 72 31 32 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 d_ar12_get.(const.xtensa_insnbuf
8aa0 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 .insn.ATTRIBUTE_UNUSED).{...retu
8ac0 72 6e 20 31 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 rn.12;.}..static.unsigned.Implic
8ae0 69 74 5f 46 69 65 6c 64 5f 6d 72 30 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 it_Field_mr0_get.(const.xtensa_i
8b00 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a nsnbuf.insn.ATTRIBUTE_UNUSED).{.
8b20 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 49 ..return.0;.}..static.unsigned.I
8b40 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 31 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 mplicit_Field_mr1_get.(const.xte
8b60 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 nsa_insnbuf.insn.ATTRIBUTE_UNUSE
8b80 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 31 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 D).{...return.1;.}..static.unsig
8ba0 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 32 5f 67 65 74 20 28 63 6f 6e 73 ned.Implicit_Field_mr2_get.(cons
8bc0 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f t.xtensa_insnbuf.insn.ATTRIBUTE_
8be0 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 UNUSED).{...return.2;.}..static.
8c00 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 33 5f 67 65 74 20 unsigned.Implicit_Field_mr3_get.
8c20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 (const.xtensa_insnbuf.insn.ATTRI
8c40 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 33 3b 0a 7d 0a 0a 65 6e BUTE_UNUSED).{...return.3;.}..en
8c60 75 6d 20 78 74 65 6e 73 61 5f 66 69 65 6c 64 5f 69 64 20 7b 0a 20 20 46 49 45 4c 44 5f 74 2c 0a um.xtensa_field_id.{...FIELD_t,.
8c80 20 20 46 49 45 4c 44 5f 62 62 69 34 2c 0a 20 20 46 49 45 4c 44 5f 62 62 69 2c 0a 20 20 46 49 45 ..FIELD_bbi4,...FIELD_bbi,...FIE
8ca0 4c 44 5f 69 6d 6d 31 32 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 38 2c 0a 20 20 46 49 45 4c 44 5f LD_imm12,...FIELD_imm8,...FIELD_
8cc0 73 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 31 32 62 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 31 36 s,...FIELD_imm12b,...FIELD_imm16
8ce0 2c 0a 20 20 46 49 45 4c 44 5f 6d 2c 0a 20 20 46 49 45 4c 44 5f 6e 2c 0a 20 20 46 49 45 4c 44 5f ,...FIELD_m,...FIELD_n,...FIELD_
8d00 6f 66 66 73 65 74 2c 0a 20 20 46 49 45 4c 44 5f 6f 70 30 2c 0a 20 20 46 49 45 4c 44 5f 6f 70 31 offset,...FIELD_op0,...FIELD_op1
8d20 2c 0a 20 20 46 49 45 4c 44 5f 6f 70 32 2c 0a 20 20 46 49 45 4c 44 5f 72 2c 0a 20 20 46 49 45 4c ,...FIELD_op2,...FIELD_r,...FIEL
8d40 44 5f 73 61 34 2c 0a 20 20 46 49 45 4c 44 5f 73 61 65 34 2c 0a 20 20 46 49 45 4c 44 5f 73 61 65 D_sa4,...FIELD_sae4,...FIELD_sae
8d60 2c 0a 20 20 46 49 45 4c 44 5f 73 61 6c 2c 0a 20 20 46 49 45 4c 44 5f 73 61 72 67 74 2c 0a 20 20 ,...FIELD_sal,...FIELD_sargt,...
8d80 46 49 45 4c 44 5f 73 61 73 34 2c 0a 20 20 46 49 45 4c 44 5f 73 61 73 2c 0a 20 20 46 49 45 4c 44 FIELD_sas4,...FIELD_sas,...FIELD
8da0 5f 73 72 2c 0a 20 20 46 49 45 4c 44 5f 73 74 2c 0a 20 20 46 49 45 4c 44 5f 74 68 69 33 2c 0a 20 _sr,...FIELD_st,...FIELD_thi3,..
8dc0 20 46 49 45 4c 44 5f 69 6d 6d 34 2c 0a 20 20 46 49 45 4c 44 5f 6d 6e 2c 0a 20 20 46 49 45 4c 44 .FIELD_imm4,...FIELD_mn,...FIELD
8de0 5f 69 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 36 6c 6f 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 36 _i,...FIELD_imm6lo,...FIELD_imm6
8e00 68 69 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 37 6c 6f 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 37 hi,...FIELD_imm7lo,...FIELD_imm7
8e20 68 69 2c 0a 20 20 46 49 45 4c 44 5f 7a 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 36 2c 0a 20 20 46 hi,...FIELD_z,...FIELD_imm6,...F
8e40 49 45 4c 44 5f 69 6d 6d 37 2c 0a 20 20 46 49 45 4c 44 5f 72 33 2c 0a 20 20 46 49 45 4c 44 5f 72 IELD_imm7,...FIELD_r3,...FIELD_r
8e60 62 69 74 32 2c 0a 20 20 46 49 45 4c 44 5f 72 68 69 2c 0a 20 20 46 49 45 4c 44 5f 74 33 2c 0a 20 bit2,...FIELD_rhi,...FIELD_t3,..
8e80 20 46 49 45 4c 44 5f 74 62 69 74 32 2c 0a 20 20 46 49 45 4c 44 5f 74 6c 6f 2c 0a 20 20 46 49 45 .FIELD_tbit2,...FIELD_tlo,...FIE
8ea0 4c 44 5f 77 2c 0a 20 20 46 49 45 4c 44 5f 79 2c 0a 20 20 46 49 45 4c 44 5f 78 2c 0a 20 20 46 49 LD_w,...FIELD_y,...FIELD_x,...FI
8ec0 45 4c 44 5f 78 74 5f 77 62 72 31 35 5f 69 6d 6d 2c 0a 20 20 46 49 45 4c 44 5f 78 74 5f 77 62 72 ELD_xt_wbr15_imm,...FIELD_xt_wbr
8ee0 31 38 5f 69 6d 6d 2c 0a 20 20 46 49 45 4c 44 5f 62 69 74 69 6e 64 65 78 2c 0a 20 20 46 49 45 4c 18_imm,...FIELD_bitindex,...FIEL
8f00 44 5f 73 33 74 6f 31 2c 0a 20 20 46 49 45 4c 44 5f 5f 61 72 30 2c 0a 20 20 46 49 45 4c 44 5f 5f D_s3to1,...FIELD__ar0,...FIELD__
8f20 61 72 34 2c 0a 20 20 46 49 45 4c 44 5f 5f 61 72 38 2c 0a 20 20 46 49 45 4c 44 5f 5f 61 72 31 32 ar4,...FIELD__ar8,...FIELD__ar12
8f40 2c 0a 20 20 46 49 45 4c 44 5f 5f 6d 72 30 2c 0a 20 20 46 49 45 4c 44 5f 5f 6d 72 31 2c 0a 20 20 ,...FIELD__mr0,...FIELD__mr1,...
8f60 46 49 45 4c 44 5f 5f 6d 72 32 2c 0a 20 20 46 49 45 4c 44 5f 5f 6d 72 33 0a 7d 3b 0a 0a 0c 0a 2f FIELD__mr2,...FIELD__mr3.};..../
8f80 2a 20 46 75 6e 63 74 69 6f 6e 61 6c 20 75 6e 69 74 73 2e 20 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 *.Functional.units...*/..#define
8fa0 20 66 75 6e 63 55 6e 69 74 73 20 30 0a 0a 0c 0a 2f 2a 20 52 65 67 69 73 74 65 72 20 66 69 6c 65 .funcUnits.0..../*.Register.file
8fc0 73 2e 20 20 2a 2f 0a 0a 65 6e 75 6d 20 78 74 65 6e 73 61 5f 72 65 67 66 69 6c 65 5f 69 64 20 7b s...*/..enum.xtensa_regfile_id.{
8fe0 0a 20 20 52 45 47 46 49 4c 45 5f 41 52 2c 0a 20 20 52 45 47 46 49 4c 45 5f 4d 52 0a 7d 3b 0a 0a ...REGFILE_AR,...REGFILE_MR.};..
9000 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 72 65 67 66 69 6c 65 5f 69 6e 74 65 72 6e 61 6c 20 72 static.xtensa_regfile_internal.r
9020 65 67 66 69 6c 65 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 22 41 52 22 2c 20 22 61 22 2c 20 52 45 47 egfiles[].=.{...{."AR",."a",.REG
9040 46 49 4c 45 5f 41 52 2c 20 33 32 2c 20 33 32 20 7d 2c 0a 20 20 7b 20 22 4d 52 22 2c 20 22 6d 22 FILE_AR,.32,.32.},...{."MR",."m"
9060 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 33 32 2c 20 34 20 7d 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 49 ,.REGFILE_MR,.32,.4.}.};..../*.I
9080 6e 74 65 72 66 61 63 65 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 69 6e nterfaces...*/..static.xtensa_in
90a0 74 65 72 66 61 63 65 5f 69 6e 74 65 72 6e 61 6c 20 69 6e 74 65 72 66 61 63 65 73 5b 5d 20 3d 20 terface_internal.interfaces[].=.
90c0 7b 0a 20 20 7b 20 22 49 4d 50 57 49 52 45 22 2c 20 33 32 2c 20 30 2c 20 30 2c 20 27 69 27 20 7d {...{."IMPWIRE",.32,.0,.0,.'i'.}
90e0 0a 7d 3b 0a 0a 65 6e 75 6d 20 78 74 65 6e 73 61 5f 69 6e 74 65 72 66 61 63 65 5f 69 64 20 7b 0a .};..enum.xtensa_interface_id.{.
9100 20 20 49 4e 54 45 52 46 41 43 45 5f 49 4d 50 57 49 52 45 0a 7d 3b 0a 0a 0a 2f 2a 20 43 6f 6e 73 ..INTERFACE_IMPWIRE.};.../*.Cons
9120 74 61 6e 74 20 74 61 62 6c 65 73 2e 20 20 2a 2f 0a 0a 2f 2a 20 63 6f 6e 73 74 61 6e 74 20 74 61 tant.tables...*/../*.constant.ta
9140 62 6c 65 20 61 69 34 63 20 2a 2f 0a 73 74 61 74 69 63 20 63 6f 6e 73 74 20 75 6e 73 69 67 6e 65 ble.ai4c.*/.static.const.unsigne
9160 64 20 43 4f 4e 53 54 5f 54 42 4c 5f 61 69 34 63 5f 30 5b 5d 20 3d 20 7b 0a 20 20 30 78 66 66 66 d.CONST_TBL_ai4c_0[].=.{...0xfff
9180 66 66 66 66 66 2c 0a 20 20 30 78 31 2c 0a 20 20 30 78 32 2c 0a 20 20 30 78 33 2c 0a 20 20 30 78 fffff,...0x1,...0x2,...0x3,...0x
91a0 34 2c 0a 20 20 30 78 35 2c 0a 20 20 30 78 36 2c 0a 20 20 30 78 37 2c 0a 20 20 30 78 38 2c 0a 20 4,...0x5,...0x6,...0x7,...0x8,..
91c0 20 30 78 39 2c 0a 20 20 30 78 61 2c 0a 20 20 30 78 62 2c 0a 20 20 30 78 63 2c 0a 20 20 30 78 64 .0x9,...0xa,...0xb,...0xc,...0xd
91e0 2c 0a 20 20 30 78 65 2c 0a 20 20 30 78 66 2c 0a 20 20 30 0a 7d 3b 0a 0a 2f 2a 20 63 6f 6e 73 74 ,...0xe,...0xf,...0.};../*.const
9200 61 6e 74 20 74 61 62 6c 65 20 62 34 63 20 2a 2f 0a 73 74 61 74 69 63 20 63 6f 6e 73 74 20 75 6e ant.table.b4c.*/.static.const.un
9220 73 69 67 6e 65 64 20 43 4f 4e 53 54 5f 54 42 4c 5f 62 34 63 5f 30 5b 5d 20 3d 20 7b 0a 20 20 30 signed.CONST_TBL_b4c_0[].=.{...0
9240 78 66 66 66 66 66 66 66 66 2c 0a 20 20 30 78 31 2c 0a 20 20 30 78 32 2c 0a 20 20 30 78 33 2c 0a xffffffff,...0x1,...0x2,...0x3,.
9260 20 20 30 78 34 2c 0a 20 20 30 78 35 2c 0a 20 20 30 78 36 2c 0a 20 20 30 78 37 2c 0a 20 20 30 78 ..0x4,...0x5,...0x6,...0x7,...0x
9280 38 2c 0a 20 20 30 78 61 2c 0a 20 20 30 78 63 2c 0a 20 20 30 78 31 30 2c 0a 20 20 30 78 32 30 2c 8,...0xa,...0xc,...0x10,...0x20,
92a0 0a 20 20 30 78 34 30 2c 0a 20 20 30 78 38 30 2c 0a 20 20 30 78 31 30 30 2c 0a 20 20 30 0a 7d 3b ...0x40,...0x80,...0x100,...0.};
92c0 0a 0a 2f 2a 20 63 6f 6e 73 74 61 6e 74 20 74 61 62 6c 65 20 62 34 63 75 20 2a 2f 0a 73 74 61 74 ../*.constant.table.b4cu.*/.stat
92e0 69 63 20 63 6f 6e 73 74 20 75 6e 73 69 67 6e 65 64 20 43 4f 4e 53 54 5f 54 42 4c 5f 62 34 63 75 ic.const.unsigned.CONST_TBL_b4cu
9300 5f 30 5b 5d 20 3d 20 7b 0a 20 20 30 78 38 30 30 30 2c 0a 20 20 30 78 31 30 30 30 30 2c 0a 20 20 _0[].=.{...0x8000,...0x10000,...
9320 30 78 32 2c 0a 20 20 30 78 33 2c 0a 20 20 30 78 34 2c 0a 20 20 30 78 35 2c 0a 20 20 30 78 36 2c 0x2,...0x3,...0x4,...0x5,...0x6,
9340 0a 20 20 30 78 37 2c 0a 20 20 30 78 38 2c 0a 20 20 30 78 61 2c 0a 20 20 30 78 63 2c 0a 20 20 30 ...0x7,...0x8,...0xa,...0xc,...0
9360 78 31 30 2c 0a 20 20 30 78 32 30 2c 0a 20 20 30 78 34 30 2c 0a 20 20 30 78 38 30 2c 0a 20 20 30 x10,...0x20,...0x40,...0x80,...0
9380 78 31 30 30 2c 0a 20 20 30 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 49 6e 73 74 72 75 63 74 69 6f 6e 20 6f x100,...0.};..../*.Instruction.o
93a0 70 65 72 61 6e 64 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 perands...*/..static.int.Operand
93c0 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 30 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 Sem_opnd_sem_MR_0_decode.(uint32
93e0 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 32 3b 0a 20 20 72 65 74 75 72 6e .*valp).{...*valp.+=.2;...return
9400 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e .0;.}..static.int.OperandSem_opn
9420 64 5f 73 65 6d 5f 4d 52 5f 30 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 d_sem_MR_0_encode.(uint32.*valp)
9440 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 28 2a 76 61 6c .{...int.error;...error.=.((*val
9460 70 20 26 20 7e 30 78 33 29 20 21 3d 20 30 29 20 7c 7c 20 28 28 2a 76 61 6c 70 20 26 20 30 78 32 p.&.~0x3).!=.0).||.((*valp.&.0x2
9480 29 20 3d 3d 20 30 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 2a 76 61 6c 70 20 26 20 31 3b 0a 20 20 ).==.0);...*valp.=.*valp.&.1;...
94a0 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 return.error;.}..static.int.Oper
94c0 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 78 34 5f 64 65 63 6f 64 65 andSem_opnd_sem_soffsetx4_decode
94e0 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 .(uint32.*valp).{...unsigned.sof
9500 66 73 65 74 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 66 73 65 74 fsetx4_out_0;...unsigned.soffset
9520 78 34 5f 69 6e 5f 30 3b 0a 20 20 73 6f 66 66 73 65 74 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c x4_in_0;...soffsetx4_in_0.=.*val
9540 70 20 26 20 30 78 33 66 66 66 66 3b 0a 20 20 73 6f 66 66 73 65 74 78 34 5f 6f 75 74 5f 30 20 3d p.&.0x3ffff;...soffsetx4_out_0.=
9560 20 30 78 34 20 2b 20 28 28 28 28 69 6e 74 29 20 73 6f 66 66 73 65 74 78 34 5f 69 6e 5f 30 20 3c .0x4.+.((((int).soffsetx4_in_0.<
9580 3c 20 31 34 29 20 3e 3e 20 31 34 29 20 3c 3c 20 32 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 6f <.14).>>.14).<<.2);...*valp.=.so
95a0 66 66 73 65 74 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 ffsetx4_out_0;...return.0;.}..st
95c0 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 atic.int.OperandSem_opnd_sem_sof
95e0 66 73 65 74 78 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 fsetx4_encode.(uint32.*valp).{..
9600 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 66 73 65 74 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 .unsigned.soffsetx4_in_0;...unsi
9620 67 6e 65 64 20 73 6f 66 66 73 65 74 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 73 6f 66 66 73 65 74 78 gned.soffsetx4_out_0;...soffsetx
9640 34 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 6f 66 66 73 65 74 78 34 5f 69 6e 5f 4_out_0.=.*valp;...soffsetx4_in_
9660 30 20 3d 20 28 28 73 6f 66 66 73 65 74 78 34 5f 6f 75 74 5f 30 20 2d 20 30 78 34 29 20 3e 3e 20 0.=.((soffsetx4_out_0.-.0x4).>>.
9680 32 29 20 26 20 30 78 33 66 66 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 6f 66 66 73 65 74 78 2).&.0x3ffff;...*valp.=.soffsetx
96a0 34 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 4_in_0;...return.0;.}..static.in
96c0 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 32 78 38 5f 64 t.OperandSem_opnd_sem_uimm12x8_d
96e0 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 ecode.(uint32.*valp).{...unsigne
9700 64 20 75 69 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d d.uimm12x8_out_0;...unsigned.uim
9720 6d 31 32 78 38 5f 69 6e 5f 30 3b 0a 20 20 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 20 3d 20 2a 76 m12x8_in_0;...uimm12x8_in_0.=.*v
9740 61 6c 70 20 26 20 30 78 66 66 66 3b 0a 20 20 75 69 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 20 3d 20 alp.&.0xfff;...uimm12x8_out_0.=.
9760 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 20 3c 3c 20 33 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 uimm12x8_in_0.<<.3;...*valp.=.ui
9780 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 mm12x8_out_0;...return.0;.}..sta
97a0 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d tic.int.OperandSem_opnd_sem_uimm
97c0 31 32 78 38 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 12x8_encode.(uint32.*valp).{...u
97e0 6e 73 69 67 6e 65 64 20 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 nsigned.uimm12x8_in_0;...unsigne
9800 64 20 75 69 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 69 6d 6d 31 32 78 38 5f 6f 75 74 d.uimm12x8_out_0;...uimm12x8_out
9820 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 20 3d 20 28 28 _0.=.*valp;...uimm12x8_in_0.=.((
9840 75 69 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 20 3e 3e 20 33 29 20 26 20 30 78 66 66 66 29 3b 0a 20 uimm12x8_out_0.>>.3).&.0xfff);..
9860 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e .*valp.=.uimm12x8_in_0;...return
9880 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e .0;.}..static.int.OperandSem_opn
98a0 64 5f 73 65 6d 5f 73 69 6d 6d 34 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 d_sem_simm4_decode.(uint32.*valp
98c0 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e ).{...unsigned.simm4_out_0;...un
98e0 73 69 67 6e 65 64 20 73 69 6d 6d 34 5f 69 6e 5f 30 3b 0a 20 20 73 69 6d 6d 34 5f 69 6e 5f 30 20 signed.simm4_in_0;...simm4_in_0.
9900 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 20 3d 20 28 =.*valp.&.0xf;...simm4_out_0.=.(
9920 28 69 6e 74 29 20 73 69 6d 6d 34 5f 69 6e 5f 30 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 (int).simm4_in_0.<<.28).>>.28;..
9940 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 .*valp.=.simm4_out_0;...return.0
9960 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f ;.}..static.int.OperandSem_opnd_
9980 73 65 6d 5f 73 69 6d 6d 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a sem_simm4_encode.(uint32.*valp).
99a0 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 {...unsigned.simm4_in_0;...unsig
99c0 6e 65 64 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 3b 0a 20 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 20 3d ned.simm4_out_0;...simm4_out_0.=
99e0 20 2a 76 61 6c 70 3b 0a 20 20 73 69 6d 6d 34 5f 69 6e 5f 30 20 3d 20 28 73 69 6d 6d 34 5f 6f 75 .*valp;...simm4_in_0.=.(simm4_ou
9a00 74 5f 30 20 26 20 30 78 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 34 5f 69 6e 5f 30 t_0.&.0xf);...*valp.=.simm4_in_0
9a20 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 ;...return.0;.}..static.int.Oper
9a40 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 andSem_opnd_sem_AR_decode.(uint3
9a60 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 2.*valp.ATTRIBUTE_UNUSED).{...re
9a80 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
9aa0 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c _opnd_sem_AR_encode.(uint32.*val
9ac0 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 p).{...int.error;...error.=.(*va
9ae0 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 lp.>=.32);...return.error;.}..st
9b00 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f atic.int.OperandSem_opnd_sem_AR_
9b20 30 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 0_decode.(uint32.*valp.ATTRIBUTE
9b40 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 _UNUSED).{...return.0;.}..static
9b60 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 30 5f 65 6e .int.OperandSem_opnd_sem_AR_0_en
9b80 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f code.(uint32.*valp).{...int.erro
9ba0 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 72 65 r;...error.=.(*valp.>=.32);...re
9bc0 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e turn.error;.}..static.int.Operan
9be0 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 31 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 dSem_opnd_sem_AR_1_decode.(uint3
9c00 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 2.*valp.ATTRIBUTE_UNUSED).{...re
9c20 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
9c40 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 31 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 _opnd_sem_AR_1_encode.(uint32.*v
9c60 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a alp).{...int.error;...error.=.(*
9c80 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a valp.>=.32);...return.error;.}..
9ca0 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 static.int.OperandSem_opnd_sem_A
9cc0 52 5f 32 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 R_2_decode.(uint32.*valp.ATTRIBU
9ce0 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 TE_UNUSED).{...return.0;.}..stat
9d00 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 32 5f ic.int.OperandSem_opnd_sem_AR_2_
9d20 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 encode.(uint32.*valp).{...int.er
9d40 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 ror;...error.=.(*valp.>=.32);...
9d60 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 return.error;.}..static.int.Oper
9d80 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 33 5f 64 65 63 6f 64 65 20 28 75 69 6e andSem_opnd_sem_AR_3_decode.(uin
9da0 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 t32.*valp.ATTRIBUTE_UNUSED).{...
9dc0 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 return.0;.}..static.int.OperandS
9de0 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 33 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 em_opnd_sem_AR_3_encode.(uint32.
9e00 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 *valp).{...int.error;...error.=.
9e20 28 2a 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d (*valp.>=.32);...return.error;.}
9e40 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d ..static.int.OperandSem_opnd_sem
9e60 5f 41 52 5f 34 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 _AR_4_decode.(uint32.*valp.ATTRI
9e80 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 BUTE_UNUSED).{...return.0;.}..st
9ea0 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f atic.int.OperandSem_opnd_sem_AR_
9ec0 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 4_encode.(uint32.*valp).{...int.
9ee0 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a error;...error.=.(*valp.>=.32);.
9f00 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 ..return.error;.}..static.int.Op
9f20 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 72 78 34 5f 64 65 63 6f 64 65 20 erandSem_opnd_sem_immrx4_decode.
9f40 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 69 6d 6d 72 (uint32.*valp).{...unsigned.immr
9f60 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 x4_out_0;...unsigned.immrx4_in_0
9f80 3b 0a 20 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 ;...immrx4_in_0.=.*valp.&.0xf;..
9fa0 20 69 6d 6d 72 78 34 5f 6f 75 74 5f 30 20 3d 20 28 28 28 30 78 66 66 66 66 66 66 66 29 20 3c 3c .immrx4_out_0.=.(((0xfffffff).<<
9fc0 20 34 29 20 7c 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 29 20 3c 3c 20 32 3b 0a 20 20 2a 76 61 6c 70 .4).|.immrx4_in_0).<<.2;...*valp
9fe0 20 3d 20 69 6d 6d 72 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a .=.immrx4_out_0;...return.0;.}..
a000 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 static.int.OperandSem_opnd_sem_i
a020 6d 6d 72 78 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 mmrx4_encode.(uint32.*valp).{...
a040 75 6e 73 69 67 6e 65 64 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 unsigned.immrx4_in_0;...unsigned
a060 20 69 6d 6d 72 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 69 6d 6d 72 78 34 5f 6f 75 74 5f 30 20 3d 20 .immrx4_out_0;...immrx4_out_0.=.
a080 2a 76 61 6c 70 3b 0a 20 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 20 3d 20 28 28 69 6d 6d 72 78 34 5f *valp;...immrx4_in_0.=.((immrx4_
a0a0 6f 75 74 5f 30 20 3e 3e 20 32 29 20 26 20 30 78 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 69 6d out_0.>>.2).&.0xf);...*valp.=.im
a0c0 6d 72 78 34 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 mrx4_in_0;...return.0;.}..static
a0e0 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f .int.OperandSem_opnd_sem_lsi4x4_
a100 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e decode.(uint32.*valp).{...unsign
a120 65 64 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 73 69 34 ed.lsi4x4_out_0;...unsigned.lsi4
a140 78 34 5f 69 6e 5f 30 3b 0a 20 20 6c 73 69 34 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 x4_in_0;...lsi4x4_in_0.=.*valp.&
a160 20 30 78 66 3b 0a 20 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 20 3d 20 6c 73 69 34 78 34 5f 69 6e .0xf;...lsi4x4_out_0.=.lsi4x4_in
a180 5f 30 20 3c 3c 20 32 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 3b _0.<<.2;...*valp.=.lsi4x4_out_0;
a1a0 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 ...return.0;.}..static.int.Opera
a1c0 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f 65 6e 63 6f 64 65 20 28 75 69 ndSem_opnd_sem_lsi4x4_encode.(ui
a1e0 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 73 69 34 78 34 5f nt32.*valp).{...unsigned.lsi4x4_
a200 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 3b 0a 20 in_0;...unsigned.lsi4x4_out_0;..
a220 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 6c 73 69 34 78 34 5f .lsi4x4_out_0.=.*valp;...lsi4x4_
a240 69 6e 5f 30 20 3d 20 28 28 6c 73 69 34 78 34 5f 6f 75 74 5f 30 20 3e 3e 20 32 29 20 26 20 30 78 in_0.=.((lsi4x4_out_0.>>.2).&.0x
a260 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 73 69 34 78 34 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 f);...*valp.=.lsi4x4_in_0;...ret
a280 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f urn.0;.}..static.int.OperandSem_
a2a0 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 37 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 opnd_sem_simm7_decode.(uint32.*v
a2c0 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 37 5f 6f 75 74 5f 30 3b 0a 20 alp).{...unsigned.simm7_out_0;..
a2e0 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 37 5f 69 6e 5f 30 3b 0a 20 20 73 69 6d 6d 37 5f 69 6e .unsigned.simm7_in_0;...simm7_in
a300 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 37 66 3b 0a 20 20 73 69 6d 6d 37 5f 6f 75 74 5f 30 _0.=.*valp.&.0x7f;...simm7_out_0
a320 20 3d 20 28 28 28 28 2d 28 28 28 28 73 69 6d 6d 37 5f 69 6e 5f 30 20 3e 3e 20 36 29 20 26 20 31 .=.((((-((((simm7_in_0.>>.6).&.1
a340 29 29 20 26 20 28 28 28 73 69 6d 6d 37 5f 69 6e 5f 30 20 3e 3e 20 35 29 20 26 20 31 29 29 29 29 )).&.(((simm7_in_0.>>.5).&.1))))
a360 20 26 20 30 78 31 66 66 66 66 66 66 29 29 20 3c 3c 20 37 29 20 7c 20 73 69 6d 6d 37 5f 69 6e 5f .&.0x1ffffff)).<<.7).|.simm7_in_
a380 30 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 37 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 0;...*valp.=.simm7_out_0;...retu
a3a0 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f rn.0;.}..static.int.OperandSem_o
a3c0 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 37 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 pnd_sem_simm7_encode.(uint32.*va
a3e0 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 37 5f 69 6e 5f 30 3b 0a 20 20 75 lp).{...unsigned.simm7_in_0;...u
a400 6e 73 69 67 6e 65 64 20 73 69 6d 6d 37 5f 6f 75 74 5f 30 3b 0a 20 20 73 69 6d 6d 37 5f 6f 75 74 nsigned.simm7_out_0;...simm7_out
a420 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 69 6d 6d 37 5f 69 6e 5f 30 20 3d 20 28 73 69 6d 6d _0.=.*valp;...simm7_in_0.=.(simm
a440 37 5f 6f 75 74 5f 30 20 26 20 30 78 37 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 37 7_out_0.&.0x7f);...*valp.=.simm7
a460 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 _in_0;...return.0;.}..static.int
a480 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 36 5f 64 65 63 6f 64 .OperandSem_opnd_sem_uimm6_decod
a4a0 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 e.(uint32.*valp).{...unsigned.ui
a4c0 6d 6d 36 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 36 5f 69 6e 5f 30 mm6_out_0;...unsigned.uimm6_in_0
a4e0 3b 0a 20 20 75 69 6d 6d 36 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 33 66 3b 0a 20 ;...uimm6_in_0.=.*valp.&.0x3f;..
a500 20 75 69 6d 6d 36 5f 6f 75 74 5f 30 20 3d 20 30 78 34 20 2b 20 28 28 28 30 29 20 3c 3c 20 36 29 .uimm6_out_0.=.0x4.+.(((0).<<.6)
a520 20 7c 20 75 69 6d 6d 36 5f 69 6e 5f 30 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 36 5f .|.uimm6_in_0);...*valp.=.uimm6_
a540 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 out_0;...return.0;.}..static.int
a560 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 36 5f 65 6e 63 6f 64 .OperandSem_opnd_sem_uimm6_encod
a580 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 e.(uint32.*valp).{...unsigned.ui
a5a0 6d 6d 36 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 36 5f 6f 75 74 5f 30 mm6_in_0;...unsigned.uimm6_out_0
a5c0 3b 0a 20 20 75 69 6d 6d 36 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 6d 6d 36 ;...uimm6_out_0.=.*valp;...uimm6
a5e0 5f 69 6e 5f 30 20 3d 20 28 75 69 6d 6d 36 5f 6f 75 74 5f 30 20 2d 20 30 78 34 29 20 26 20 30 78 _in_0.=.(uimm6_out_0.-.0x4).&.0x
a600 33 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 36 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 3f;...*valp.=.uimm6_in_0;...retu
a620 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f rn.0;.}..static.int.OperandSem_o
a640 70 6e 64 5f 73 65 6d 5f 61 69 34 63 6f 6e 73 74 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 pnd_sem_ai4const_decode.(uint32.
a660 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 61 69 34 63 6f 6e 73 74 5f 6f 75 74 *valp).{...unsigned.ai4const_out
a680 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 _0;...unsigned.ai4const_in_0;...
a6a0 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 61 ai4const_in_0.=.*valp.&.0xf;...a
a6c0 69 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 20 3d 20 43 4f 4e 53 54 5f 54 42 4c 5f 61 69 34 63 5f 30 i4const_out_0.=.CONST_TBL_ai4c_0
a6e0 5b 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 26 20 30 78 66 5d 3b 0a 20 20 2a 76 61 6c 70 20 3d [ai4const_in_0.&.0xf];...*valp.=
a700 20 61 69 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a .ai4const_out_0;...return.0;.}..
a720 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 61 static.int.OperandSem_opnd_sem_a
a740 69 34 63 6f 6e 73 74 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a i4const_encode.(uint32.*valp).{.
a760 20 20 75 6e 73 69 67 6e 65 64 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 ..unsigned.ai4const_in_0;...unsi
a780 67 6e 65 64 20 61 69 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 3b 0a 20 20 61 69 34 63 6f 6e 73 74 5f gned.ai4const_out_0;...ai4const_
a7a0 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 77 69 74 63 68 20 28 61 69 34 63 6f 6e 73 out_0.=.*valp;...switch.(ai4cons
a7c0 74 5f 6f 75 74 5f 30 29 0a 20 20 20 20 7b 0a 20 20 20 20 63 61 73 65 20 30 78 66 66 66 66 66 66 t_out_0).....{.....case.0xffffff
a7e0 66 66 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 3b 20 62 72 65 61 6b 3b 0a 20 20 ff:.ai4const_in_0.=.0;.break;...
a800 20 20 63 61 73 65 20 30 78 31 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 31 3b ..case.0x1:.ai4const_in_0.=.0x1;
a820 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 32 3a 20 61 69 34 63 6f 6e 73 74 5f 69 .break;.....case.0x2:.ai4const_i
a840 6e 5f 30 20 3d 20 30 78 32 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 33 3a 20 n_0.=.0x2;.break;.....case.0x3:.
a860 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 33 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 ai4const_in_0.=.0x3;.break;.....
a880 63 61 73 65 20 30 78 34 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 34 3b 20 62 case.0x4:.ai4const_in_0.=.0x4;.b
a8a0 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 35 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f reak;.....case.0x5:.ai4const_in_
a8c0 30 20 3d 20 30 78 35 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 36 3a 20 61 69 0.=.0x5;.break;.....case.0x6:.ai
a8e0 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 36 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 4const_in_0.=.0x6;.break;.....ca
a900 73 65 20 30 78 37 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 37 3b 20 62 72 65 se.0x7:.ai4const_in_0.=.0x7;.bre
a920 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 38 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 ak;.....case.0x8:.ai4const_in_0.
a940 3d 20 30 78 38 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 39 3a 20 61 69 34 63 =.0x8;.break;.....case.0x9:.ai4c
a960 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 39 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 onst_in_0.=.0x9;.break;.....case
a980 20 30 78 61 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 61 3b 20 62 72 65 61 6b .0xa:.ai4const_in_0.=.0xa;.break
a9a0 3b 0a 20 20 20 20 63 61 73 65 20 30 78 62 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 ;.....case.0xb:.ai4const_in_0.=.
a9c0 30 78 62 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 63 3a 20 61 69 34 63 6f 6e 0xb;.break;.....case.0xc:.ai4con
a9e0 73 74 5f 69 6e 5f 30 20 3d 20 30 78 63 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 st_in_0.=.0xc;.break;.....case.0
aa00 78 64 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 64 3b 20 62 72 65 61 6b 3b 0a xd:.ai4const_in_0.=.0xd;.break;.
aa20 20 20 20 20 63 61 73 65 20 30 78 65 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 ....case.0xe:.ai4const_in_0.=.0x
aa40 65 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 64 65 66 61 75 6c 74 3a 20 61 69 34 63 6f 6e 73 74 5f e;.break;.....default:.ai4const_
aa60 69 6e 5f 30 20 3d 20 30 78 66 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 7d 0a 20 20 2a 76 61 6c 70 in_0.=.0xf;.break;.....}...*valp
aa80 20 3d 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a .=.ai4const_in_0;...return.0;.}.
aaa0 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f .static.int.OperandSem_opnd_sem_
aac0 62 34 63 6f 6e 73 74 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a b4const_decode.(uint32.*valp).{.
aae0 20 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 ..unsigned.b4const_out_0;...unsi
ab00 67 6e 65 64 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 62 34 63 6f 6e 73 74 5f 69 6e 5f gned.b4const_in_0;...b4const_in_
ab20 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 0.=.*valp.&.0xf;...b4const_out_0
ab40 20 3d 20 43 4f 4e 53 54 5f 54 42 4c 5f 62 34 63 5f 30 5b 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 .=.CONST_TBL_b4c_0[b4const_in_0.
ab60 26 20 30 78 66 5d 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 3b &.0xf];...*valp.=.b4const_out_0;
ab80 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 ...return.0;.}..static.int.Opera
aba0 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 5f 65 6e 63 6f 64 65 20 28 75 ndSem_opnd_sem_b4const_encode.(u
abc0 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e 73 int32.*valp).{...unsigned.b4cons
abe0 74 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 t_in_0;...unsigned.b4const_out_0
ac00 3b 0a 20 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 77 69 ;...b4const_out_0.=.*valp;...swi
ac20 74 63 68 20 28 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 29 0a 20 20 20 20 7b 0a 20 20 20 20 63 61 tch.(b4const_out_0).....{.....ca
ac40 73 65 20 30 78 66 66 66 66 66 66 66 66 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 3b se.0xffffffff:.b4const_in_0.=.0;
ac60 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 31 3a 20 62 34 63 6f 6e 73 74 5f 69 6e .break;.....case.0x1:.b4const_in
ac80 5f 30 20 3d 20 30 78 31 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 32 3a 20 62 _0.=.0x1;.break;.....case.0x2:.b
aca0 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 32 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 4const_in_0.=.0x2;.break;.....ca
acc0 73 65 20 30 78 33 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 33 3b 20 62 72 65 61 se.0x3:.b4const_in_0.=.0x3;.brea
ace0 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 34 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 k;.....case.0x4:.b4const_in_0.=.
ad00 30 78 34 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 35 3a 20 62 34 63 6f 6e 73 0x4;.break;.....case.0x5:.b4cons
ad20 74 5f 69 6e 5f 30 20 3d 20 30 78 35 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 t_in_0.=.0x5;.break;.....case.0x
ad40 36 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 36 3b 20 62 72 65 61 6b 3b 0a 20 20 6:.b4const_in_0.=.0x6;.break;...
ad60 20 20 63 61 73 65 20 30 78 37 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 37 3b 20 ..case.0x7:.b4const_in_0.=.0x7;.
ad80 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 38 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f break;.....case.0x8:.b4const_in_
ada0 30 20 3d 20 30 78 38 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 61 3a 20 62 34 0.=.0x8;.break;.....case.0xa:.b4
adc0 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 39 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 const_in_0.=.0x9;.break;.....cas
ade0 65 20 30 78 63 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 61 3b 20 62 72 65 61 6b e.0xc:.b4const_in_0.=.0xa;.break
ae00 3b 0a 20 20 20 20 63 61 73 65 20 30 78 31 30 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 ;.....case.0x10:.b4const_in_0.=.
ae20 30 78 62 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 32 30 3a 20 62 34 63 6f 6e 0xb;.break;.....case.0x20:.b4con
ae40 73 74 5f 69 6e 5f 30 20 3d 20 30 78 63 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 st_in_0.=.0xc;.break;.....case.0
ae60 78 34 30 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 64 3b 20 62 72 65 61 6b 3b 0a x40:.b4const_in_0.=.0xd;.break;.
ae80 20 20 20 20 63 61 73 65 20 30 78 38 30 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 ....case.0x80:.b4const_in_0.=.0x
aea0 65 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 64 65 66 61 75 6c 74 3a 20 62 34 63 6f 6e 73 74 5f 69 e;.break;.....default:.b4const_i
aec0 6e 5f 30 20 3d 20 30 78 66 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 7d 0a 20 20 2a 76 61 6c 70 20 n_0.=.0xf;.break;.....}...*valp.
aee0 3d 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 =.b4const_in_0;...return.0;.}..s
af00 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 tatic.int.OperandSem_opnd_sem_b4
af20 63 6f 6e 73 74 75 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 constu_decode.(uint32.*valp).{..
af40 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e 73 74 75 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 .unsigned.b4constu_out_0;...unsi
af60 67 6e 65 64 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 3b 0a 20 20 62 34 63 6f 6e 73 74 75 5f 69 gned.b4constu_in_0;...b4constu_i
af80 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 62 34 63 6f 6e 73 74 75 5f 6f 75 n_0.=.*valp.&.0xf;...b4constu_ou
afa0 74 5f 30 20 3d 20 43 4f 4e 53 54 5f 54 42 4c 5f 62 34 63 75 5f 30 5b 62 34 63 6f 6e 73 74 75 5f t_0.=.CONST_TBL_b4cu_0[b4constu_
afc0 69 6e 5f 30 20 26 20 30 78 66 5d 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 62 34 63 6f 6e 73 74 75 5f in_0.&.0xf];...*valp.=.b4constu_
afe0 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 out_0;...return.0;.}..static.int
b000 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 75 5f 65 6e .OperandSem_opnd_sem_b4constu_en
b020 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 code.(uint32.*valp).{...unsigned
b040 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e .b4constu_in_0;...unsigned.b4con
b060 73 74 75 5f 6f 75 74 5f 30 3b 0a 20 20 62 34 63 6f 6e 73 74 75 5f 6f 75 74 5f 30 20 3d 20 2a 76 stu_out_0;...b4constu_out_0.=.*v
b080 61 6c 70 3b 0a 20 20 73 77 69 74 63 68 20 28 62 34 63 6f 6e 73 74 75 5f 6f 75 74 5f 30 29 0a 20 alp;...switch.(b4constu_out_0)..
b0a0 20 20 20 7b 0a 20 20 20 20 63 61 73 65 20 30 78 38 30 30 30 3a 20 62 34 63 6f 6e 73 74 75 5f 69 ...{.....case.0x8000:.b4constu_i
b0c0 6e 5f 30 20 3d 20 30 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 31 30 30 30 30 n_0.=.0;.break;.....case.0x10000
b0e0 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 31 3b 20 62 72 65 61 6b 3b 0a 20 20 :.b4constu_in_0.=.0x1;.break;...
b100 20 20 63 61 73 65 20 30 78 32 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 32 3b ..case.0x2:.b4constu_in_0.=.0x2;
b120 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 33 3a 20 62 34 63 6f 6e 73 74 75 5f 69 .break;.....case.0x3:.b4constu_i
b140 6e 5f 30 20 3d 20 30 78 33 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 34 3a 20 n_0.=.0x3;.break;.....case.0x4:.
b160 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 34 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 b4constu_in_0.=.0x4;.break;.....
b180 63 61 73 65 20 30 78 35 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 35 3b 20 62 case.0x5:.b4constu_in_0.=.0x5;.b
b1a0 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 36 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f reak;.....case.0x6:.b4constu_in_
b1c0 30 20 3d 20 30 78 36 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 37 3a 20 62 34 0.=.0x6;.break;.....case.0x7:.b4
b1e0 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 37 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 constu_in_0.=.0x7;.break;.....ca
b200 73 65 20 30 78 38 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 38 3b 20 62 72 65 se.0x8:.b4constu_in_0.=.0x8;.bre
b220 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 61 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 ak;.....case.0xa:.b4constu_in_0.
b240 3d 20 30 78 39 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 63 3a 20 62 34 63 6f =.0x9;.break;.....case.0xc:.b4co
b260 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 61 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 nstu_in_0.=.0xa;.break;.....case
b280 20 30 78 31 30 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 62 3b 20 62 72 65 61 .0x10:.b4constu_in_0.=.0xb;.brea
b2a0 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 32 30 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 k;.....case.0x20:.b4constu_in_0.
b2c0 3d 20 30 78 63 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 34 30 3a 20 62 34 63 =.0xc;.break;.....case.0x40:.b4c
b2e0 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 64 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 onstu_in_0.=.0xd;.break;.....cas
b300 65 20 30 78 38 30 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 65 3b 20 62 72 65 e.0x80:.b4constu_in_0.=.0xe;.bre
b320 61 6b 3b 0a 20 20 20 20 64 65 66 61 75 6c 74 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d ak;.....default:.b4constu_in_0.=
b340 20 30 78 66 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 7d 0a 20 20 2a 76 61 6c 70 20 3d 20 62 34 63 .0xf;.break;.....}...*valp.=.b4c
b360 6f 6e 73 74 75 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 onstu_in_0;...return.0;.}..stati
b380 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 5f c.int.OperandSem_opnd_sem_uimm8_
b3a0 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e decode.(uint32.*valp).{...unsign
b3c0 65 64 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 ed.uimm8_out_0;...unsigned.uimm8
b3e0 5f 69 6e 5f 30 3b 0a 20 20 75 69 6d 6d 38 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 _in_0;...uimm8_in_0.=.*valp.&.0x
b400 66 66 3b 0a 20 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 20 3d 20 75 69 6d 6d 38 5f 69 6e 5f 30 3b 0a ff;...uimm8_out_0.=.uimm8_in_0;.
b420 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 ..*valp.=.uimm8_out_0;...return.
b440 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 0;.}..static.int.OperandSem_opnd
b460 5f 73 65 6d 5f 75 69 6d 6d 38 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 _sem_uimm8_encode.(uint32.*valp)
b480 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 .{...unsigned.uimm8_in_0;...unsi
b4a0 67 6e 65 64 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 20 gned.uimm8_out_0;...uimm8_out_0.
b4c0 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 6d 6d 38 5f 69 6e 5f 30 20 3d 20 28 75 69 6d 6d 38 5f 6f =.*valp;...uimm8_in_0.=.(uimm8_o
b4e0 75 74 5f 30 20 26 20 30 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 5f 69 6e ut_0.&.0xff);...*valp.=.uimm8_in
b500 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
b520 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 32 5f 64 65 63 6f 64 65 erandSem_opnd_sem_uimm8x2_decode
b540 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d .(uint32.*valp).{...unsigned.uim
b560 6d 38 78 32 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 78 32 5f 69 m8x2_out_0;...unsigned.uimm8x2_i
b580 6e 5f 30 3b 0a 20 20 75 69 6d 6d 38 78 32 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 n_0;...uimm8x2_in_0.=.*valp.&.0x
b5a0 66 66 3b 0a 20 20 75 69 6d 6d 38 78 32 5f 6f 75 74 5f 30 20 3d 20 75 69 6d 6d 38 78 32 5f 69 6e ff;...uimm8x2_out_0.=.uimm8x2_in
b5c0 5f 30 20 3c 3c 20 31 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 78 32 5f 6f 75 74 5f 30 _0.<<.1;...*valp.=.uimm8x2_out_0
b5e0 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 ;...return.0;.}..static.int.Oper
b600 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 32 5f 65 6e 63 6f 64 65 20 28 andSem_opnd_sem_uimm8x2_encode.(
b620 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 uint32.*valp).{...unsigned.uimm8
b640 78 32 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 78 32 5f 6f 75 74 5f x2_in_0;...unsigned.uimm8x2_out_
b660 30 3b 0a 20 20 75 69 6d 6d 38 78 32 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 0;...uimm8x2_out_0.=.*valp;...ui
b680 6d 6d 38 78 32 5f 69 6e 5f 30 20 3d 20 28 28 75 69 6d 6d 38 78 32 5f 6f 75 74 5f 30 20 3e 3e 20 mm8x2_in_0.=.((uimm8x2_out_0.>>.
b6a0 31 29 20 26 20 30 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 78 32 5f 69 6e 1).&.0xff);...*valp.=.uimm8x2_in
b6c0 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
b6e0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 34 5f 64 65 63 6f 64 65 erandSem_opnd_sem_uimm8x4_decode
b700 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d .(uint32.*valp).{...unsigned.uim
b720 6d 38 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 78 34 5f 69 m8x4_out_0;...unsigned.uimm8x4_i
b740 6e 5f 30 3b 0a 20 20 75 69 6d 6d 38 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 n_0;...uimm8x4_in_0.=.*valp.&.0x
b760 66 66 3b 0a 20 20 75 69 6d 6d 38 78 34 5f 6f 75 74 5f 30 20 3d 20 75 69 6d 6d 38 78 34 5f 69 6e ff;...uimm8x4_out_0.=.uimm8x4_in
b780 5f 30 20 3c 3c 20 32 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 78 34 5f 6f 75 74 5f 30 _0.<<.2;...*valp.=.uimm8x4_out_0
b7a0 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 ;...return.0;.}..static.int.Oper
b7c0 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 34 5f 65 6e 63 6f 64 65 20 28 andSem_opnd_sem_uimm8x4_encode.(
b7e0 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 uint32.*valp).{...unsigned.uimm8
b800 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 78 34 5f 6f 75 74 5f x4_in_0;...unsigned.uimm8x4_out_
b820 30 3b 0a 20 20 75 69 6d 6d 38 78 34 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 0;...uimm8x4_out_0.=.*valp;...ui
b840 6d 6d 38 78 34 5f 69 6e 5f 30 20 3d 20 28 28 75 69 6d 6d 38 78 34 5f 6f 75 74 5f 30 20 3e 3e 20 mm8x4_in_0.=.((uimm8x4_out_0.>>.
b860 32 29 20 26 20 30 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 78 34 5f 69 6e 2).&.0xff);...*valp.=.uimm8x4_in
b880 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
b8a0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 34 78 31 36 5f 64 65 63 6f 64 erandSem_opnd_sem_uimm4x16_decod
b8c0 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 e.(uint32.*valp).{...unsigned.ui
b8e0 6d 6d 34 78 31 36 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 34 78 31 mm4x16_out_0;...unsigned.uimm4x1
b900 36 5f 69 6e 5f 30 3b 0a 20 20 75 69 6d 6d 34 78 31 36 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 6_in_0;...uimm4x16_in_0.=.*valp.
b920 26 20 30 78 66 3b 0a 20 20 75 69 6d 6d 34 78 31 36 5f 6f 75 74 5f 30 20 3d 20 75 69 6d 6d 34 78 &.0xf;...uimm4x16_out_0.=.uimm4x
b940 31 36 5f 69 6e 5f 30 20 3c 3c 20 34 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 34 78 31 36 16_in_0.<<.4;...*valp.=.uimm4x16
b960 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e _out_0;...return.0;.}..static.in
b980 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 34 78 31 36 5f 65 t.OperandSem_opnd_sem_uimm4x16_e
b9a0 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 ncode.(uint32.*valp).{...unsigne
b9c0 64 20 75 69 6d 6d 34 78 31 36 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d d.uimm4x16_in_0;...unsigned.uimm
b9e0 34 78 31 36 5f 6f 75 74 5f 30 3b 0a 20 20 75 69 6d 6d 34 78 31 36 5f 6f 75 74 5f 30 20 3d 20 2a 4x16_out_0;...uimm4x16_out_0.=.*
ba00 76 61 6c 70 3b 0a 20 20 75 69 6d 6d 34 78 31 36 5f 69 6e 5f 30 20 3d 20 28 28 75 69 6d 6d 34 78 valp;...uimm4x16_in_0.=.((uimm4x
ba20 31 36 5f 6f 75 74 5f 30 20 3e 3e 20 34 29 20 26 20 30 78 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 16_out_0.>>.4).&.0xf);...*valp.=
ba40 20 75 69 6d 6d 34 78 31 36 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 .uimm4x16_in_0;...return.0;.}..s
ba60 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 tatic.int.OperandSem_opnd_sem_si
ba80 6d 6d 38 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e mm8_decode.(uint32.*valp).{...un
baa0 73 69 67 6e 65 64 20 73 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 signed.simm8_out_0;...unsigned.s
bac0 69 6d 6d 38 5f 69 6e 5f 30 3b 0a 20 20 73 69 6d 6d 38 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 imm8_in_0;...simm8_in_0.=.*valp.
bae0 26 20 30 78 66 66 3b 0a 20 20 73 69 6d 6d 38 5f 6f 75 74 5f 30 20 3d 20 28 28 69 6e 74 29 20 73 &.0xff;...simm8_out_0.=.((int).s
bb00 69 6d 6d 38 5f 69 6e 5f 30 20 3c 3c 20 32 34 29 20 3e 3e 20 32 34 3b 0a 20 20 2a 76 61 6c 70 20 imm8_in_0.<<.24).>>.24;...*valp.
bb20 3d 20 73 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 =.simm8_out_0;...return.0;.}..st
bb40 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d atic.int.OperandSem_opnd_sem_sim
bb60 6d 38 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 m8_encode.(uint32.*valp).{...uns
bb80 69 67 6e 65 64 20 73 69 6d 6d 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d igned.simm8_in_0;...unsigned.sim
bba0 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 73 69 6d 6d 38 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b m8_out_0;...simm8_out_0.=.*valp;
bbc0 0a 20 20 73 69 6d 6d 38 5f 69 6e 5f 30 20 3d 20 28 73 69 6d 6d 38 5f 6f 75 74 5f 30 20 26 20 30 ...simm8_in_0.=.(simm8_out_0.&.0
bbe0 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 38 5f 69 6e 5f 30 3b 0a 20 20 72 65 xff);...*valp.=.simm8_in_0;...re
bc00 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
bc20 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 78 32 35 36 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 _opnd_sem_simm8x256_decode.(uint
bc40 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 38 78 32 35 36 32.*valp).{...unsigned.simm8x256
bc60 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 38 78 32 35 36 5f 69 6e 5f _out_0;...unsigned.simm8x256_in_
bc80 30 3b 0a 20 20 73 69 6d 6d 38 78 32 35 36 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 0;...simm8x256_in_0.=.*valp.&.0x
bca0 66 66 3b 0a 20 20 73 69 6d 6d 38 78 32 35 36 5f 6f 75 74 5f 30 20 3d 20 28 28 28 69 6e 74 29 20 ff;...simm8x256_out_0.=.(((int).
bcc0 73 69 6d 6d 38 78 32 35 36 5f 69 6e 5f 30 20 3c 3c 20 32 34 29 20 3e 3e 20 32 34 29 20 3c 3c 20 simm8x256_in_0.<<.24).>>.24).<<.
bce0 38 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 38 78 32 35 36 5f 6f 75 74 5f 30 3b 0a 20 20 8;...*valp.=.simm8x256_out_0;...
bd00 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 return.0;.}..static.int.OperandS
bd20 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 78 32 35 36 5f 65 6e 63 6f 64 65 20 28 75 69 em_opnd_sem_simm8x256_encode.(ui
bd40 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 38 78 32 nt32.*valp).{...unsigned.simm8x2
bd60 35 36 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 38 78 32 35 36 5f 6f 75 56_in_0;...unsigned.simm8x256_ou
bd80 74 5f 30 3b 0a 20 20 73 69 6d 6d 38 78 32 35 36 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a t_0;...simm8x256_out_0.=.*valp;.
bda0 20 20 73 69 6d 6d 38 78 32 35 36 5f 69 6e 5f 30 20 3d 20 28 28 73 69 6d 6d 38 78 32 35 36 5f 6f ..simm8x256_in_0.=.((simm8x256_o
bdc0 75 74 5f 30 20 3e 3e 20 38 29 20 26 20 30 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 ut_0.>>.8).&.0xff);...*valp.=.si
bde0 6d 6d 38 78 32 35 36 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 mm8x256_in_0;...return.0;.}..sta
be00 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d tic.int.OperandSem_opnd_sem_simm
be20 31 32 62 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 12b_decode.(uint32.*valp).{...un
be40 73 69 67 6e 65 64 20 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 signed.simm12b_out_0;...unsigned
be60 20 73 69 6d 6d 31 32 62 5f 69 6e 5f 30 3b 0a 20 20 73 69 6d 6d 31 32 62 5f 69 6e 5f 30 20 3d 20 .simm12b_in_0;...simm12b_in_0.=.
be80 2a 76 61 6c 70 20 26 20 30 78 66 66 66 3b 0a 20 20 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 20 3d *valp.&.0xfff;...simm12b_out_0.=
bea0 20 28 28 69 6e 74 29 20 73 69 6d 6d 31 32 62 5f 69 6e 5f 30 20 3c 3c 20 32 30 29 20 3e 3e 20 32 .((int).simm12b_in_0.<<.20).>>.2
bec0 30 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 0;...*valp.=.simm12b_out_0;...re
bee0 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
bf00 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 31 32 62 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 _opnd_sem_simm12b_encode.(uint32
bf20 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 31 32 62 5f 69 6e 5f .*valp).{...unsigned.simm12b_in_
bf40 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 3b 0a 20 20 73 0;...unsigned.simm12b_out_0;...s
bf60 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 69 6d 6d 31 32 62 5f imm12b_out_0.=.*valp;...simm12b_
bf80 69 6e 5f 30 20 3d 20 28 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 20 26 20 30 78 66 66 66 29 3b 0a in_0.=.(simm12b_out_0.&.0xfff);.
bfa0 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 31 32 62 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e ..*valp.=.simm12b_in_0;...return
bfc0 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e .0;.}..static.int.OperandSem_opn
bfe0 64 5f 73 65 6d 5f 6d 73 61 6c 70 33 32 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 d_sem_msalp32_decode.(uint32.*va
c000 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6d 73 61 6c 70 33 32 5f 6f 75 74 5f 30 3b 0a lp).{...unsigned.msalp32_out_0;.
c020 20 20 75 6e 73 69 67 6e 65 64 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 3b 0a 20 20 6d 73 61 6c 70 ..unsigned.msalp32_in_0;...msalp
c040 33 32 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 31 66 3b 0a 20 20 6d 73 61 6c 70 33 32_in_0.=.*valp.&.0x1f;...msalp3
c060 32 5f 6f 75 74 5f 30 20 3d 20 30 78 32 30 20 2d 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 3b 0a 20 2_out_0.=.0x20.-.msalp32_in_0;..
c080 20 2a 76 61 6c 70 20 3d 20 6d 73 61 6c 70 33 32 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e .*valp.=.msalp32_out_0;...return
c0a0 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e .0;.}..static.int.OperandSem_opn
c0c0 64 5f 73 65 6d 5f 6d 73 61 6c 70 33 32 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 d_sem_msalp32_encode.(uint32.*va
c0e0 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 3b 0a 20 lp).{...unsigned.msalp32_in_0;..
c100 20 75 6e 73 69 67 6e 65 64 20 6d 73 61 6c 70 33 32 5f 6f 75 74 5f 30 3b 0a 20 20 6d 73 61 6c 70 .unsigned.msalp32_out_0;...msalp
c120 33 32 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 32_out_0.=.*valp;...msalp32_in_0
c140 20 3d 20 28 30 78 32 30 20 2d 20 6d 73 61 6c 70 33 32 5f 6f 75 74 5f 30 29 20 26 20 30 78 31 66 .=.(0x20.-.msalp32_out_0).&.0x1f
c160 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 ;...*valp.=.msalp32_in_0;...retu
c180 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f rn.0;.}..static.int.OperandSem_o
c1a0 70 6e 64 5f 73 65 6d 5f 6f 70 32 70 31 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 pnd_sem_op2p1_decode.(uint32.*va
c1c0 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6f 70 32 70 31 5f 6f 75 74 5f 30 3b 0a 20 20 lp).{...unsigned.op2p1_out_0;...
c1e0 75 6e 73 69 67 6e 65 64 20 6f 70 32 70 31 5f 69 6e 5f 30 3b 0a 20 20 6f 70 32 70 31 5f 69 6e 5f unsigned.op2p1_in_0;...op2p1_in_
c200 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 6f 70 32 70 31 5f 6f 75 74 5f 30 20 3d 0.=.*valp.&.0xf;...op2p1_out_0.=
c220 20 6f 70 32 70 31 5f 69 6e 5f 30 20 2b 20 30 78 31 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6f 70 32 .op2p1_in_0.+.0x1;...*valp.=.op2
c240 70 31 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 p1_out_0;...return.0;.}..static.
c260 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6f 70 32 70 31 5f 65 6e int.OperandSem_opnd_sem_op2p1_en
c280 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 code.(uint32.*valp).{...unsigned
c2a0 20 6f 70 32 70 31 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6f 70 32 70 31 5f 6f 75 .op2p1_in_0;...unsigned.op2p1_ou
c2c0 74 5f 30 3b 0a 20 20 6f 70 32 70 31 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 6f 70 t_0;...op2p1_out_0.=.*valp;...op
c2e0 32 70 31 5f 69 6e 5f 30 20 3d 20 28 6f 70 32 70 31 5f 6f 75 74 5f 30 20 2d 20 30 78 31 29 20 26 2p1_in_0.=.(op2p1_out_0.-.0x1).&
c300 20 30 78 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6f 70 32 70 31 5f 69 6e 5f 30 3b 0a 20 20 72 65 .0xf;...*valp.=.op2p1_in_0;...re
c320 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
c340 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 38 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 _opnd_sem_label8_decode.(uint32.
c360 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 *valp).{...unsigned.label8_out_0
c380 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c 38 5f 69 6e 5f 30 3b 0a 20 20 6c 61 62 65 ;...unsigned.label8_in_0;...labe
c3a0 6c 38 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 66 3b 0a 20 20 6c 61 62 65 6c 38 l8_in_0.=.*valp.&.0xff;...label8
c3c0 5f 6f 75 74 5f 30 20 3d 20 30 78 34 20 2b 20 28 28 28 69 6e 74 29 20 6c 61 62 65 6c 38 5f 69 6e _out_0.=.0x4.+.(((int).label8_in
c3e0 5f 30 20 3c 3c 20 32 34 29 20 3e 3e 20 32 34 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 61 62 65 _0.<<.24).>>.24);...*valp.=.labe
c400 6c 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 l8_out_0;...return.0;.}..static.
c420 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 38 5f 65 int.OperandSem_opnd_sem_label8_e
c440 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 ncode.(uint32.*valp).{...unsigne
c460 64 20 6c 61 62 65 6c 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c 38 d.label8_in_0;...unsigned.label8
c480 5f 6f 75 74 5f 30 3b 0a 20 20 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a _out_0;...label8_out_0.=.*valp;.
c4a0 20 20 6c 61 62 65 6c 38 5f 69 6e 5f 30 20 3d 20 28 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 20 2d 20 ..label8_in_0.=.(label8_out_0.-.
c4c0 30 78 34 29 20 26 20 30 78 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 61 62 65 6c 38 5f 69 6e 0x4).&.0xff;...*valp.=.label8_in
c4e0 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
c500 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 6c 61 62 65 6c 38 5f 64 65 63 6f 64 65 erandSem_opnd_sem_ulabel8_decode
c520 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 6c 61 .(uint32.*valp).{...unsigned.ula
c540 62 65 6c 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 6c 61 62 65 6c 38 5f 69 bel8_out_0;...unsigned.ulabel8_i
c560 6e 5f 30 3b 0a 20 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 n_0;...ulabel8_in_0.=.*valp.&.0x
c580 66 66 3b 0a 20 20 75 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 20 3d 20 30 78 34 20 2b 20 28 28 28 30 ff;...ulabel8_out_0.=.0x4.+.(((0
c5a0 29 20 3c 3c 20 38 29 20 7c 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 29 3b 0a 20 20 2a 76 61 6c 70 ).<<.8).|.ulabel8_in_0);...*valp
c5c0 20 3d 20 75 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a .=.ulabel8_out_0;...return.0;.}.
c5e0 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f .static.int.OperandSem_opnd_sem_
c600 75 6c 61 62 65 6c 38 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a ulabel8_encode.(uint32.*valp).{.
c620 20 20 75 6e 73 69 67 6e 65 64 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 ..unsigned.ulabel8_in_0;...unsig
c640 6e 65 64 20 75 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6c 61 62 65 6c 38 5f 6f 75 74 ned.ulabel8_out_0;...ulabel8_out
c660 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 20 3d 20 28 75 6c _0.=.*valp;...ulabel8_in_0.=.(ul
c680 61 62 65 6c 38 5f 6f 75 74 5f 30 20 2d 20 30 78 34 29 20 26 20 30 78 66 66 3b 0a 20 20 2a 76 61 abel8_out_0.-.0x4).&.0xff;...*va
c6a0 6c 70 20 3d 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d lp.=.ulabel8_in_0;...return.0;.}
c6c0 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d ..static.int.OperandSem_opnd_sem
c6e0 5f 6c 61 62 65 6c 31 32 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b _label12_decode.(uint32.*valp).{
c700 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c 31 32 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 ...unsigned.label12_out_0;...uns
c720 69 67 6e 65 64 20 6c 61 62 65 6c 31 32 5f 69 6e 5f 30 3b 0a 20 20 6c 61 62 65 6c 31 32 5f 69 6e igned.label12_in_0;...label12_in
c740 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 66 66 3b 0a 20 20 6c 61 62 65 6c 31 32 5f 6f 75 _0.=.*valp.&.0xfff;...label12_ou
c760 74 5f 30 20 3d 20 30 78 34 20 2b 20 28 28 28 69 6e 74 29 20 6c 61 62 65 6c 31 32 5f 69 6e 5f 30 t_0.=.0x4.+.(((int).label12_in_0
c780 20 3c 3c 20 32 30 29 20 3e 3e 20 32 30 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 61 62 65 6c 31 .<<.20).>>.20);...*valp.=.label1
c7a0 32 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 2_out_0;...return.0;.}..static.i
c7c0 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 31 32 5f 65 nt.OperandSem_opnd_sem_label12_e
c7e0 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 ncode.(uint32.*valp).{...unsigne
c800 64 20 6c 61 62 65 6c 31 32 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c d.label12_in_0;...unsigned.label
c820 31 32 5f 6f 75 74 5f 30 3b 0a 20 20 6c 61 62 65 6c 31 32 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 12_out_0;...label12_out_0.=.*val
c840 70 3b 0a 20 20 6c 61 62 65 6c 31 32 5f 69 6e 5f 30 20 3d 20 28 6c 61 62 65 6c 31 32 5f 6f 75 74 p;...label12_in_0.=.(label12_out
c860 5f 30 20 2d 20 30 78 34 29 20 26 20 30 78 66 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 61 62 _0.-.0x4).&.0xfff;...*valp.=.lab
c880 65 6c 31 32 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 el12_in_0;...return.0;.}..static
c8a0 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 .int.OperandSem_opnd_sem_soffset
c8c0 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 _decode.(uint32.*valp).{...unsig
c8e0 6e 65 64 20 73 6f 66 66 73 65 74 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f ned.soffset_out_0;...unsigned.so
c900 66 66 73 65 74 5f 69 6e 5f 30 3b 0a 20 20 73 6f 66 66 73 65 74 5f 69 6e 5f 30 20 3d 20 2a 76 61 ffset_in_0;...soffset_in_0.=.*va
c920 6c 70 20 26 20 30 78 33 66 66 66 66 3b 0a 20 20 73 6f 66 66 73 65 74 5f 6f 75 74 5f 30 20 3d 20 lp.&.0x3ffff;...soffset_out_0.=.
c940 30 78 34 20 2b 20 28 28 28 69 6e 74 29 20 73 6f 66 66 73 65 74 5f 69 6e 5f 30 20 3c 3c 20 31 34 0x4.+.(((int).soffset_in_0.<<.14
c960 29 20 3e 3e 20 31 34 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 6f 66 66 73 65 74 5f 6f 75 74 5f ).>>.14);...*valp.=.soffset_out_
c980 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 0;...return.0;.}..static.int.Ope
c9a0 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 5f 65 6e 63 6f 64 65 20 randSem_opnd_sem_soffset_encode.
c9c0 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 66 (uint32.*valp).{...unsigned.soff
c9e0 73 65 74 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 66 73 65 74 5f 6f 75 74 set_in_0;...unsigned.soffset_out
ca00 5f 30 3b 0a 20 20 73 6f 66 66 73 65 74 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 _0;...soffset_out_0.=.*valp;...s
ca20 6f 66 66 73 65 74 5f 69 6e 5f 30 20 3d 20 28 73 6f 66 66 73 65 74 5f 6f 75 74 5f 30 20 2d 20 30 offset_in_0.=.(soffset_out_0.-.0
ca40 78 34 29 20 26 20 30 78 33 66 66 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 6f 66 66 73 65 74 x4).&.0x3ffff;...*valp.=.soffset
ca60 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 _in_0;...return.0;.}..static.int
ca80 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 36 78 34 5f 64 65 .OperandSem_opnd_sem_uimm16x4_de
caa0 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 code.(uint32.*valp).{...unsigned
cac0 20 75 69 6d 6d 31 36 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d .uimm16x4_out_0;...unsigned.uimm
cae0 31 36 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 69 6d 6d 31 36 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 16x4_in_0;...uimm16x4_in_0.=.*va
cb00 6c 70 20 26 20 30 78 66 66 66 66 3b 0a 20 20 75 69 6d 6d 31 36 78 34 5f 6f 75 74 5f 30 20 3d 20 lp.&.0xffff;...uimm16x4_out_0.=.
cb20 28 28 28 30 78 66 66 66 66 29 20 3c 3c 20 31 36 29 20 7c 20 75 69 6d 6d 31 36 78 34 5f 69 6e 5f (((0xffff).<<.16).|.uimm16x4_in_
cb40 30 29 20 3c 3c 20 32 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 31 36 78 34 5f 6f 75 74 5f 0).<<.2;...*valp.=.uimm16x4_out_
cb60 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 0;...return.0;.}..static.int.Ope
cb80 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 36 78 34 5f 65 6e 63 6f 64 65 randSem_opnd_sem_uimm16x4_encode
cba0 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d .(uint32.*valp).{...unsigned.uim
cbc0 6d 31 36 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 31 36 78 34 5f m16x4_in_0;...unsigned.uimm16x4_
cbe0 6f 75 74 5f 30 3b 0a 20 20 75 69 6d 6d 31 36 78 34 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b out_0;...uimm16x4_out_0.=.*valp;
cc00 0a 20 20 75 69 6d 6d 31 36 78 34 5f 69 6e 5f 30 20 3d 20 28 75 69 6d 6d 31 36 78 34 5f 6f 75 74 ...uimm16x4_in_0.=.(uimm16x4_out
cc20 5f 30 20 3e 3e 20 32 29 20 26 20 30 78 66 66 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d _0.>>.2).&.0xffff;...*valp.=.uim
cc40 6d 31 36 78 34 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 m16x4_in_0;...return.0;.}..stati
cc60 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 c.int.OperandSem_opnd_sem_bbi_de
cc80 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 code.(uint32.*valp).{...unsigned
cca0 20 62 62 69 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 62 69 5f 69 6e 5f 30 3b .bbi_out_0;...unsigned.bbi_in_0;
ccc0 0a 20 20 62 62 69 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 31 66 3b 0a 20 20 62 62 ...bbi_in_0.=.*valp.&.0x1f;...bb
cce0 69 5f 6f 75 74 5f 30 20 3d 20 28 30 20 3c 3c 20 35 29 20 7c 20 62 62 69 5f 69 6e 5f 30 3b 0a 20 i_out_0.=.(0.<<.5).|.bbi_in_0;..
cd00 20 2a 76 61 6c 70 20 3d 20 62 62 69 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a .*valp.=.bbi_out_0;...return.0;.
cd20 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 }..static.int.OperandSem_opnd_se
cd40 6d 5f 62 62 69 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 m_bbi_encode.(uint32.*valp).{...
cd60 75 6e 73 69 67 6e 65 64 20 62 62 69 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 62 unsigned.bbi_in_0;...unsigned.bb
cd80 69 5f 6f 75 74 5f 30 3b 0a 20 20 62 62 69 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 i_out_0;...bbi_out_0.=.*valp;...
cda0 62 62 69 5f 69 6e 5f 30 20 3d 20 28 62 62 69 5f 6f 75 74 5f 30 20 26 20 30 78 31 66 29 3b 0a 20 bbi_in_0.=.(bbi_out_0.&.0x1f);..
cdc0 20 2a 76 61 6c 70 20 3d 20 62 62 69 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d .*valp.=.bbi_in_0;...return.0;.}
cde0 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d ..static.int.OperandSem_opnd_sem
ce00 5f 73 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 _s_decode.(uint32.*valp).{...uns
ce20 69 67 6e 65 64 20 73 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 5f 69 6e 5f 30 igned.s_out_0;...unsigned.s_in_0
ce40 3b 0a 20 20 73 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 73 5f 6f 75 ;...s_in_0.=.*valp.&.0xf;...s_ou
ce60 74 5f 30 20 3d 20 28 30 20 3c 3c 20 34 29 20 7c 20 73 5f 69 6e 5f 30 3b 0a 20 20 2a 76 61 6c 70 t_0.=.(0.<<.4).|.s_in_0;...*valp
ce80 20 3d 20 73 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 .=.s_out_0;...return.0;.}..stati
cea0 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 5f 65 6e 63 6f c.int.OperandSem_opnd_sem_s_enco
cec0 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 de.(uint32.*valp).{...unsigned.s
cee0 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 5f 6f 75 74 5f 30 3b 0a 20 20 73 5f 6f _in_0;...unsigned.s_out_0;...s_o
cf00 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 5f 69 6e 5f 30 20 3d 20 28 73 5f 6f 75 74 5f ut_0.=.*valp;...s_in_0.=.(s_out_
cf20 30 20 26 20 30 78 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 5f 69 6e 5f 30 3b 0a 20 20 72 65 0.&.0xf);...*valp.=.s_in_0;...re
cf40 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
cf60 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c _opnd_sem_MR_decode.(uint32.*val
cf80 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 p.ATTRIBUTE_UNUSED).{...return.0
cfa0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f ;.}..static.int.OperandSem_opnd_
cfc0 73 65 6d 5f 4d 52 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 sem_MR_encode.(uint32.*valp).{..
cfe0 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 .int.error;...error.=.(*valp.>=.
d000 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 4);...return.error;.}..static.in
d020 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 31 5f 64 65 63 6f 64 t.OperandSem_opnd_sem_MR_1_decod
d040 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 e.(uint32.*valp.ATTRIBUTE_UNUSED
d060 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 ).{...return.0;.}..static.int.Op
d080 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 31 5f 65 6e 63 6f 64 65 20 28 75 erandSem_opnd_sem_MR_1_encode.(u
d0a0 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 int32.*valp).{...int.error;...er
d0c0 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 ror.=.(*valp.>=.4);...return.err
d0e0 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e or;.}..static.int.OperandSem_opn
d100 64 5f 73 65 6d 5f 4d 52 5f 32 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 d_sem_MR_2_decode.(uint32.*valp.
d120 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a ATTRIBUTE_UNUSED).{...return.0;.
d140 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 }..static.int.OperandSem_opnd_se
d160 6d 5f 4d 52 5f 32 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 m_MR_2_encode.(uint32.*valp).{..
d180 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 .int.error;...error.=.(*valp.>=.
d1a0 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 4);...return.error;.}..static.in
d1c0 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 33 5f 64 65 63 6f 64 t.OperandSem_opnd_sem_MR_3_decod
d1e0 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 e.(uint32.*valp.ATTRIBUTE_UNUSED
d200 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 ).{...return.0;.}..static.int.Op
d220 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 33 5f 65 6e 63 6f 64 65 20 28 75 erandSem_opnd_sem_MR_3_encode.(u
d240 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 int32.*valp).{...int.error;...er
d260 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 ror.=.(*valp.>=.4);...return.err
d280 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e or;.}..static.int.OperandSem_opn
d2a0 64 5f 73 65 6d 5f 4d 52 5f 34 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 d_sem_MR_4_decode.(uint32.*valp.
d2c0 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a ATTRIBUTE_UNUSED).{...return.0;.
d2e0 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 }..static.int.OperandSem_opnd_se
d300 6d 5f 4d 52 5f 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 m_MR_4_encode.(uint32.*valp).{..
d320 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 .int.error;...error.=.(*valp.>=.
d340 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 4);...return.error;.}..static.in
d360 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 35 5f 64 65 63 6f 64 t.OperandSem_opnd_sem_MR_5_decod
d380 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 e.(uint32.*valp.ATTRIBUTE_UNUSED
d3a0 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 ).{...return.0;.}..static.int.Op
d3c0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 35 5f 65 6e 63 6f 64 65 20 28 75 erandSem_opnd_sem_MR_5_encode.(u
d3e0 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 int32.*valp).{...int.error;...er
d400 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 ror.=.(*valp.>=.4);...return.err
d420 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e or;.}..static.int.OperandSem_opn
d440 64 5f 73 65 6d 5f 69 6d 6d 74 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 d_sem_immt_decode.(uint32.*valp)
d460 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 69 6d 6d 74 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 .{...unsigned.immt_out_0;...unsi
d480 67 6e 65 64 20 69 6d 6d 74 5f 69 6e 5f 30 3b 0a 20 20 69 6d 6d 74 5f 69 6e 5f 30 20 3d 20 2a 76 gned.immt_in_0;...immt_in_0.=.*v
d4a0 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 69 6d 6d 74 5f 6f 75 74 5f 30 20 3d 20 69 6d 6d 74 5f 69 alp.&.0xf;...immt_out_0.=.immt_i
d4c0 6e 5f 30 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 69 6d 6d 74 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 n_0;...*valp.=.immt_out_0;...ret
d4e0 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f urn.0;.}..static.int.OperandSem_
d500 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 74 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 opnd_sem_immt_encode.(uint32.*va
d520 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 69 6d 6d 74 5f 69 6e 5f 30 3b 0a 20 20 75 6e lp).{...unsigned.immt_in_0;...un
d540 73 69 67 6e 65 64 20 69 6d 6d 74 5f 6f 75 74 5f 30 3b 0a 20 20 69 6d 6d 74 5f 6f 75 74 5f 30 20 signed.immt_out_0;...immt_out_0.
d560 3d 20 2a 76 61 6c 70 3b 0a 20 20 69 6d 6d 74 5f 69 6e 5f 30 20 3d 20 69 6d 6d 74 5f 6f 75 74 5f =.*valp;...immt_in_0.=.immt_out_
d580 30 20 26 20 30 78 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 69 6d 6d 74 5f 69 6e 5f 30 3b 0a 20 20 0.&.0xf;...*valp.=.immt_in_0;...
d5a0 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 return.0;.}..static.int.OperandS
d5c0 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 74 70 37 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a em_opnd_sem_tp7_decode.(uint32.*
d5e0 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 70 37 5f 6f 75 74 5f 30 3b 0a 20 20 valp).{...unsigned.tp7_out_0;...
d600 75 6e 73 69 67 6e 65 64 20 74 70 37 5f 69 6e 5f 30 3b 0a 20 20 74 70 37 5f 69 6e 5f 30 20 3d 20 unsigned.tp7_in_0;...tp7_in_0.=.
d620 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 74 70 37 5f 6f 75 74 5f 30 20 3d 20 74 70 37 5f 69 *valp.&.0xf;...tp7_out_0.=.tp7_i
d640 6e 5f 30 20 2b 20 30 78 37 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 74 70 37 5f 6f 75 74 5f 30 3b 0a n_0.+.0x7;...*valp.=.tp7_out_0;.
d660 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e ..return.0;.}..static.int.Operan
d680 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 74 70 37 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 dSem_opnd_sem_tp7_encode.(uint32
d6a0 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 70 37 5f 69 6e 5f 30 3b 0a 20 .*valp).{...unsigned.tp7_in_0;..
d6c0 20 75 6e 73 69 67 6e 65 64 20 74 70 37 5f 6f 75 74 5f 30 3b 0a 20 20 74 70 37 5f 6f 75 74 5f 30 .unsigned.tp7_out_0;...tp7_out_0
d6e0 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 74 70 37 5f 69 6e 5f 30 20 3d 20 28 74 70 37 5f 6f 75 74 5f .=.*valp;...tp7_in_0.=.(tp7_out_
d700 30 20 2d 20 30 78 37 29 20 26 20 30 78 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 74 70 37 5f 69 6e 0.-.0x7).&.0xf;...*valp.=.tp7_in
d720 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
d740 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c erandSem_opnd_sem_xt_wbr15_label
d760 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 _decode.(uint32.*valp).{...unsig
d780 6e 65 64 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 ned.xt_wbr15_label_out_0;...unsi
d7a0 67 6e 65 64 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 3b 0a 20 20 78 74 5f 77 gned.xt_wbr15_label_in_0;...xt_w
d7c0 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 37 66 66 66 br15_label_in_0.=.*valp.&.0x7fff
d7e0 3b 0a 20 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 20 3d 20 30 78 34 20 2b ;...xt_wbr15_label_out_0.=.0x4.+
d800 20 28 28 28 69 6e 74 29 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 20 3c 3c 20 .(((int).xt_wbr15_label_in_0.<<.
d820 31 37 29 20 3e 3e 20 31 37 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 78 74 5f 77 62 72 31 35 5f 6c 17).>>.17);...*valp.=.xt_wbr15_l
d840 61 62 65 6c 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 abel_out_0;...return.0;.}..stati
d860 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 78 74 5f 77 62 72 c.int.OperandSem_opnd_sem_xt_wbr
d880 31 35 5f 6c 61 62 65 6c 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 15_label_encode.(uint32.*valp).{
d8a0 0a 20 20 75 6e 73 69 67 6e 65 64 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 3b ...unsigned.xt_wbr15_label_in_0;
d8c0 0a 20 20 75 6e 73 69 67 6e 65 64 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 ...unsigned.xt_wbr15_label_out_0
d8e0 3b 0a 20 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 ;...xt_wbr15_label_out_0.=.*valp
d900 3b 0a 20 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 20 3d 20 28 78 74 5f 77 62 ;...xt_wbr15_label_in_0.=.(xt_wb
d920 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 20 2d 20 30 78 34 29 20 26 20 30 78 37 66 66 66 3b r15_label_out_0.-.0x4).&.0x7fff;
d940 0a 20 20 2a 76 61 6c 70 20 3d 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 3b 0a ...*valp.=.xt_wbr15_label_in_0;.
d960 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e ..return.0;.}..static.int.Operan
d980 64 5f 73 6f 66 66 73 65 74 78 34 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 d_soffsetx4_ator.(uint32.*valp,.
d9a0 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 28 70 63 20 26 20 7e 30 uint32.pc).{...*valp.-=.(pc.&.~0
d9c0 78 33 29 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f x3);...return.0;.}..static.int.O
d9e0 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 78 34 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 perand_soffsetx4_rtoa.(uint32.*v
da00 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 28 70 63 alp,.uint32.pc).{...*valp.+=.(pc
da20 20 26 20 7e 30 78 33 29 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .&.~0x3);...return.0;.}..static.
da40 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 36 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a int.Operand_uimm6_ator.(uint32.*
da60 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 valp,.uint32.pc).{...*valp.-=.pc
da80 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 ;...return.0;.}..static.int.Oper
daa0 61 6e 64 5f 75 69 6d 6d 36 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 and_uimm6_rtoa.(uint32.*valp,.ui
dac0 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a 20 20 72 65 74 75 nt32.pc).{...*valp.+=.pc;...retu
dae0 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 6c 61 62 65 rn.0;.}..static.int.Operand_labe
db00 6c 38 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 l8_ator.(uint32.*valp,.uint32.pc
db20 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d ).{...*valp.-=.pc;...return.0;.}
db40 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 6c 61 62 65 6c 38 5f 72 74 6f 61 ..static.int.Operand_label8_rtoa
db60 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a .(uint32.*valp,.uint32.pc).{...*
db80 76 61 6c 70 20 2b 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 valp.+=.pc;...return.0;.}..stati
dba0 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 75 6c 61 62 65 6c 38 5f 61 74 6f 72 20 28 75 69 6e 74 c.int.Operand_ulabel8_ator.(uint
dbc0 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 32.*valp,.uint32.pc).{...*valp.-
dbe0 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a =.pc;...return.0;.}..static.int.
dc00 4f 70 65 72 61 6e 64 5f 75 6c 61 62 65 6c 38 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 Operand_ulabel8_rtoa.(uint32.*va
dc20 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a lp,.uint32.pc).{...*valp.+=.pc;.
dc40 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e ..return.0;.}..static.int.Operan
dc60 64 5f 6c 61 62 65 6c 31 32 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 d_label12_ator.(uint32.*valp,.ui
dc80 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 20 72 65 74 75 nt32.pc).{...*valp.-=.pc;...retu
dca0 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 6c 61 62 65 rn.0;.}..static.int.Operand_labe
dcc0 6c 31 32 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 l12_rtoa.(uint32.*valp,.uint32.p
dce0 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a c).{...*valp.+=.pc;...return.0;.
dd00 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 5f 61 74 }..static.int.Operand_soffset_at
dd20 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 or.(uint32.*valp,.uint32.pc).{..
dd40 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 .*valp.-=.pc;...return.0;.}..sta
dd60 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 5f 72 74 6f 61 20 28 75 69 tic.int.Operand_soffset_rtoa.(ui
dd80 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 nt32.*valp,.uint32.pc).{...*valp
dda0 20 2b 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e .+=.pc;...return.0;.}..static.in
ddc0 74 0a 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 31 36 78 34 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 t.Operand_uimm16x4_ator.(uint32.
dde0 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 28 *valp,.uint32.pc).{...*valp.-=.(
de00 28 70 63 20 2b 20 33 29 20 26 20 7e 30 78 33 29 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a (pc.+.3).&.~0x3);...return.0;.}.
de20 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 31 36 78 34 5f 72 74 6f .static.int.Operand_uimm16x4_rto
de40 61 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 a.(uint32.*valp,.uint32.pc).{...
de60 2a 76 61 6c 70 20 2b 3d 20 28 28 70 63 20 2b 20 33 29 20 26 20 7e 30 78 33 29 3b 0a 20 20 72 65 *valp.+=.((pc.+.3).&.~0x3);...re
de80 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 78 74 turn.0;.}..static.int.Operand_xt
dea0 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c _wbr15_label_ator.(uint32.*valp,
dec0 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 20 72 .uint32.pc).{...*valp.-=.pc;...r
dee0 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 78 eturn.0;.}..static.int.Operand_x
df00 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 t_wbr15_label_rtoa.(uint32.*valp
df20 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a 20 20 ,.uint32.pc).{...*valp.+=.pc;...
df40 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f return.0;.}..static.int.Operand_
df60 78 74 5f 77 62 72 31 38 5f 6c 61 62 65 6c 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c xt_wbr18_label_ator.(uint32.*val
df80 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 p,.uint32.pc).{...*valp.-=.pc;..
dfa0 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 .return.0;.}..static.int.Operand
dfc0 5f 78 74 5f 77 62 72 31 38 5f 6c 61 62 65 6c 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 _xt_wbr18_label_rtoa.(uint32.*va
dfe0 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a lp,.uint32.pc).{...*valp.+=.pc;.
e000 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 6f 70 65 ..return.0;.}..static.xtensa_ope
e020 72 61 6e 64 5f 69 6e 74 65 72 6e 61 6c 20 6f 70 65 72 61 6e 64 73 5b 5d 20 3d 20 7b 0a 20 20 7b rand_internal.operands[].=.{...{
e040 20 22 73 6f 66 66 73 65 74 78 34 22 2c 20 46 49 45 4c 44 5f 6f 66 66 73 65 74 2c 20 2d 31 2c 20 ."soffsetx4",.FIELD_offset,.-1,.
e060 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 0,.....XTENSA_OPERAND_IS_PCRELAT
e080 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 IVE,.....OperandSem_opnd_sem_sof
e0a0 66 73 65 74 78 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 fsetx4_encode,.OperandSem_opnd_s
e0c0 65 6d 5f 73 6f 66 66 73 65 74 78 34 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 em_soffsetx4_decode,.....Operand
e0e0 5f 73 6f 66 66 73 65 74 78 34 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 _soffsetx4_ator,.Operand_soffset
e100 78 34 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 31 32 78 38 22 2c 20 46 49 45 4c 44 x4_rtoa.},...{."uimm12x8",.FIELD
e120 5f 69 6d 6d 31 32 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e _imm12,.-1,.0,.....0,.....Operan
e140 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 32 78 38 5f 65 6e 63 6f 64 65 2c 20 4f dSem_opnd_sem_uimm12x8_encode,.O
e160 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 32 78 38 5f 64 65 63 6f perandSem_opnd_sem_uimm12x8_deco
e180 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 6d 6d 34 22 2c 20 46 49 45 de,.....0,.0.},...{."simm4",.FIE
e1a0 4c 44 5f 6d 6e 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 LD_mn,.-1,.0,.....0,.....Operand
e1c0 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 Sem_opnd_sem_simm4_encode,.Opera
e1e0 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 34 5f 64 65 63 6f 64 65 2c 0a 20 20 20 ndSem_opnd_sem_simm4_decode,....
e200 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 72 22 2c 20 46 49 45 4c 44 5f 72 2c 20 52 45 47 .0,.0.},...{."arr",.FIELD_r,.REG
e220 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 FILE_AR,.1,.....XTENSA_OPERAND_I
e240 53 5f 52 45 47 49 53 54 45 52 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f S_REGISTER,.....OperandSem_opnd_
e260 73 65 6d 5f 41 52 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 sem_AR_encode,.OperandSem_opnd_s
e280 65 6d 5f 41 52 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 em_AR_decode,.....0,.0.},...{."a
e2a0 72 73 22 2c 20 46 49 45 4c 44 5f 73 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 rs",.FIELD_s,.REGFILE_AR,.1,....
e2c0 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 2c 0a 20 20 20 .XTENSA_OPERAND_IS_REGISTER,....
e2e0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 65 6e 63 6f 64 65 2c 20 .OperandSem_opnd_sem_AR_encode,.
e300 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 64 65 63 6f 64 65 2c 0a 20 OperandSem_opnd_sem_AR_decode,..
e320 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 2a 61 72 73 5f 69 6e 76 69 73 69 62 6c 65 22 2c ...0,.0.},...{."*ars_invisible",
e340 20 46 49 45 4c 44 5f 73 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 .FIELD_s,.REGFILE_AR,.1,.....XTE
e360 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 NSA_OPERAND_IS_REGISTER.|.XTENSA
e380 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 _OPERAND_IS_INVISIBLE,.....Opera
e3a0 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e ndSem_opnd_sem_AR_encode,.Operan
e3c0 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 dSem_opnd_sem_AR_decode,.....0,.
e3e0 30 20 7d 2c 0a 20 20 7b 20 22 61 72 74 22 2c 20 46 49 45 4c 44 5f 74 2c 20 52 45 47 46 49 4c 45 0.},...{."art",.FIELD_t,.REGFILE
e400 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 _AR,.1,.....XTENSA_OPERAND_IS_RE
e420 47 49 53 54 45 52 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f GISTER,.....OperandSem_opnd_sem_
e440 41 52 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 AR_encode,.OperandSem_opnd_sem_A
e460 52 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 30 22 2c R_decode,.....0,.0.},...{."ar0",
e480 20 46 49 45 4c 44 5f 5f 61 72 30 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 .FIELD__ar0,.REGFILE_AR,.1,.....
e4a0 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 XTENSA_OPERAND_IS_REGISTER.|.XTE
e4c0 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 NSA_OPERAND_IS_INVISIBLE,.....Op
e4e0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 30 5f 65 6e 63 6f 64 65 2c 20 4f erandSem_opnd_sem_AR_0_encode,.O
e500 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 30 5f 64 65 63 6f 64 65 2c 0a perandSem_opnd_sem_AR_0_decode,.
e520 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 34 22 2c 20 46 49 45 4c 44 5f 5f 61 72 ....0,.0.},...{."ar4",.FIELD__ar
e540 34 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 4,.REGFILE_AR,.1,.....XTENSA_OPE
e560 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e RAND_IS_REGISTER.|.XTENSA_OPERAN
e580 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f D_IS_INVISIBLE,.....OperandSem_o
e5a0 70 6e 64 5f 73 65 6d 5f 41 52 5f 31 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f pnd_sem_AR_1_encode,.OperandSem_
e5c0 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 31 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d opnd_sem_AR_1_decode,.....0,.0.}
e5e0 2c 0a 20 20 7b 20 22 61 72 38 22 2c 20 46 49 45 4c 44 5f 5f 61 72 38 2c 20 52 45 47 46 49 4c 45 ,...{."ar8",.FIELD__ar8,.REGFILE
e600 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 _AR,.1,.....XTENSA_OPERAND_IS_RE
e620 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 GISTER.|.XTENSA_OPERAND_IS_INVIS
e640 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 IBLE,.....OperandSem_opnd_sem_AR
e660 5f 32 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 _2_encode,.OperandSem_opnd_sem_A
e680 52 5f 32 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 31 R_2_decode,.....0,.0.},...{."ar1
e6a0 32 22 2c 20 46 49 45 4c 44 5f 5f 61 72 31 32 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 2",.FIELD__ar12,.REGFILE_AR,.1,.
e6c0 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c ....XTENSA_OPERAND_IS_REGISTER.|
e6e0 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 .XTENSA_OPERAND_IS_INVISIBLE,...
e700 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 33 5f 65 6e 63 6f 64 ..OperandSem_opnd_sem_AR_3_encod
e720 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 33 5f 64 65 63 6f e,.OperandSem_opnd_sem_AR_3_deco
e740 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 73 5f 65 6e 74 72 79 22 2c de,.....0,.0.},...{."ars_entry",
e760 20 46 49 45 4c 44 5f 73 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 .FIELD_s,.REGFILE_AR,.1,.....XTE
e780 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 2c 0a 20 20 20 20 4f 70 65 NSA_OPERAND_IS_REGISTER,.....Ope
e7a0 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 randSem_opnd_sem_AR_4_encode,.Op
e7c0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 34 5f 64 65 63 6f 64 65 2c 0a 20 erandSem_opnd_sem_AR_4_decode,..
e7e0 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 72 78 34 22 2c 20 46 49 45 4c 44 5f 72 ...0,.0.},...{."immrx4",.FIELD_r
e800 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f ,.-1,.0,.....0,.....OperandSem_o
e820 70 6e 64 5f 73 65 6d 5f 69 6d 6d 72 78 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 pnd_sem_immrx4_encode,.OperandSe
e840 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 72 78 34 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c m_opnd_sem_immrx4_decode,.....0,
e860 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 73 69 34 78 34 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c .0.},...{."lsi4x4",.FIELD_r,.-1,
e880 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 .0,.....0,.....OperandSem_opnd_s
e8a0 65 6d 5f 6c 73 69 34 78 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e em_lsi4x4_encode,.OperandSem_opn
e8c0 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c d_sem_lsi4x4_decode,.....0,.0.},
e8e0 0a 20 20 7b 20 22 73 69 6d 6d 37 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 37 2c 20 2d 31 2c 20 30 2c ...{."simm7",.FIELD_imm7,.-1,.0,
e900 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f .....0,.....OperandSem_opnd_sem_
e920 73 69 6d 6d 37 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 simm7_encode,.OperandSem_opnd_se
e940 6d 5f 73 69 6d 6d 37 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 m_simm7_decode,.....0,.0.},...{.
e960 22 75 69 6d 6d 36 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 36 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 "uimm6",.FIELD_imm6,.-1,.0,.....
e980 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 XTENSA_OPERAND_IS_PCRELATIVE,...
e9a0 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 36 5f 65 6e 63 6f ..OperandSem_opnd_sem_uimm6_enco
e9c0 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 36 5f 64 65 de,.OperandSem_opnd_sem_uimm6_de
e9e0 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 36 5f 61 74 6f 72 2c 20 4f 70 code,.....Operand_uimm6_ator,.Op
ea00 65 72 61 6e 64 5f 75 69 6d 6d 36 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 61 69 34 63 6f 6e 73 erand_uimm6_rtoa.},...{."ai4cons
ea20 74 22 2c 20 46 49 45 4c 44 5f 74 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t",.FIELD_t,.-1,.0,.....0,.....O
ea40 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 61 69 34 63 6f 6e 73 74 5f 65 6e 63 6f perandSem_opnd_sem_ai4const_enco
ea60 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 61 69 34 63 6f 6e 73 74 de,.OperandSem_opnd_sem_ai4const
ea80 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 34 63 6f 6e 73 _decode,.....0,.0.},...{."b4cons
eaa0 74 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t",.FIELD_r,.-1,.0,.....0,.....O
eac0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 5f 65 6e 63 6f 64 perandSem_opnd_sem_b4const_encod
eae0 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 5f 64 e,.OperandSem_opnd_sem_b4const_d
eb00 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 34 63 6f 6e 73 74 75 ecode,.....0,.0.},...{."b4constu
eb20 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 ",.FIELD_r,.-1,.0,.....0,.....Op
eb40 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 75 5f 65 6e 63 6f 64 erandSem_opnd_sem_b4constu_encod
eb60 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 75 5f e,.OperandSem_opnd_sem_b4constu_
eb80 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 38 22 2c decode,.....0,.0.},...{."uimm8",
eba0 20 46 49 45 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f .FIELD_imm8,.-1,.0,.....0,.....O
ebc0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 5f 65 6e 63 6f 64 65 2c perandSem_opnd_sem_uimm8_encode,
ebe0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 5f 64 65 63 6f 64 .OperandSem_opnd_sem_uimm8_decod
ec00 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 38 78 32 22 2c 20 46 49 e,.....0,.0.},...{."uimm8x2",.FI
ec20 45 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 ELD_imm8,.-1,.0,.....0,.....Oper
ec40 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 32 5f 65 6e 63 6f 64 65 2c 20 andSem_opnd_sem_uimm8x2_encode,.
ec60 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 32 5f 64 65 63 6f OperandSem_opnd_sem_uimm8x2_deco
ec80 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 38 78 34 22 2c 20 46 de,.....0,.0.},...{."uimm8x4",.F
eca0 49 45 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 IELD_imm8,.-1,.0,.....0,.....Ope
ecc0 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 34 5f 65 6e 63 6f 64 65 2c randSem_opnd_sem_uimm8x4_encode,
ece0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 34 5f 64 65 63 .OperandSem_opnd_sem_uimm8x4_dec
ed00 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 34 78 31 36 22 2c ode,.....0,.0.},...{."uimm4x16",
ed20 20 46 49 45 4c 44 5f 6f 70 32 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 .FIELD_op2,.-1,.0,.....0,.....Op
ed40 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 34 78 31 36 5f 65 6e 63 6f 64 erandSem_opnd_sem_uimm4x16_encod
ed60 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 34 78 31 36 5f e,.OperandSem_opnd_sem_uimm4x16_
ed80 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 72 78 34 decode,.....0,.0.},...{."uimmrx4
eda0 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 ",.FIELD_r,.-1,.0,.....0,.....Op
edc0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f 65 6e 63 6f 64 65 2c erandSem_opnd_sem_lsi4x4_encode,
ede0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f 64 65 63 6f .OperandSem_opnd_sem_lsi4x4_deco
ee00 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 6d 6d 38 22 2c 20 46 49 45 de,.....0,.0.},...{."simm8",.FIE
ee20 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 LD_imm8,.-1,.0,.....0,.....Opera
ee40 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 ndSem_opnd_sem_simm8_encode,.Ope
ee60 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 5f 64 65 63 6f 64 65 2c 0a 20 randSem_opnd_sem_simm8_decode,..
ee80 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 6d 6d 38 78 32 35 36 22 2c 20 46 49 45 4c ...0,.0.},...{."simm8x256",.FIEL
eea0 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e D_imm8,.-1,.0,.....0,.....Operan
eec0 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 78 32 35 36 5f 65 6e 63 6f 64 65 2c 20 dSem_opnd_sem_simm8x256_encode,.
eee0 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 78 32 35 36 5f 64 65 OperandSem_opnd_sem_simm8x256_de
ef00 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 6d 6d 31 32 62 22 2c code,.....0,.0.},...{."simm12b",
ef20 20 46 49 45 4c 44 5f 69 6d 6d 31 32 62 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 .FIELD_imm12b,.-1,.0,.....0,....
ef40 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 31 32 62 5f 65 6e 63 .OperandSem_opnd_sem_simm12b_enc
ef60 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 31 32 62 ode,.OperandSem_opnd_sem_simm12b
ef80 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 73 61 6c 70 33 _decode,.....0,.0.},...{."msalp3
efa0 32 22 2c 20 46 49 45 4c 44 5f 73 61 6c 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 2",.FIELD_sal,.-1,.0,.....0,....
efc0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6d 73 61 6c 70 33 32 5f 65 6e 63 .OperandSem_opnd_sem_msalp32_enc
efe0 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6d 73 61 6c 70 33 32 ode,.OperandSem_opnd_sem_msalp32
f000 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6f 70 32 70 31 22 _decode,.....0,.0.},...{."op2p1"
f020 2c 20 46 49 45 4c 44 5f 6f 70 32 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f ,.FIELD_op2,.-1,.0,.....0,.....O
f040 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6f 70 32 70 31 5f 65 6e 63 6f 64 65 2c perandSem_opnd_sem_op2p1_encode,
f060 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6f 70 32 70 31 5f 64 65 63 6f 64 .OperandSem_opnd_sem_op2p1_decod
f080 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 61 62 65 6c 38 22 2c 20 46 49 45 e,.....0,.0.},...{."label8",.FIE
f0a0 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 LD_imm8,.-1,.0,.....XTENSA_OPERA
f0c0 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d ND_IS_PCRELATIVE,.....OperandSem
f0e0 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 38 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 _opnd_sem_label8_encode,.Operand
f100 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 38 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 Sem_opnd_sem_label8_decode,.....
f120 4f 70 65 72 61 6e 64 5f 6c 61 62 65 6c 38 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 6c 61 62 Operand_label8_ator,.Operand_lab
f140 65 6c 38 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 75 6c 61 62 65 6c 38 22 2c 20 46 49 45 4c 44 el8_rtoa.},...{."ulabel8",.FIELD
f160 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 _imm8,.-1,.0,.....XTENSA_OPERAND
f180 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f _IS_PCRELATIVE,.....OperandSem_o
f1a0 70 6e 64 5f 73 65 6d 5f 75 6c 61 62 65 6c 38 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 pnd_sem_ulabel8_encode,.OperandS
f1c0 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 6c 61 62 65 6c 38 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 em_opnd_sem_ulabel8_decode,.....
f1e0 4f 70 65 72 61 6e 64 5f 75 6c 61 62 65 6c 38 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 75 6c Operand_ulabel8_ator,.Operand_ul
f200 61 62 65 6c 38 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 6c 61 62 65 6c 31 32 22 2c 20 46 49 45 abel8_rtoa.},...{."label12",.FIE
f220 4c 44 5f 69 6d 6d 31 32 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 LD_imm12,.-1,.0,.....XTENSA_OPER
f240 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 AND_IS_PCRELATIVE,.....OperandSe
f260 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 31 32 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 m_opnd_sem_label12_encode,.Opera
f280 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 31 32 5f 64 65 63 6f 64 65 2c 0a 20 ndSem_opnd_sem_label12_decode,..
f2a0 20 20 20 4f 70 65 72 61 6e 64 5f 6c 61 62 65 6c 31 32 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 ...Operand_label12_ator,.Operand
f2c0 5f 6c 61 62 65 6c 31 32 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 73 6f 66 66 73 65 74 22 2c 20 _label12_rtoa.},...{."soffset",.
f2e0 46 49 45 4c 44 5f 6f 66 66 73 65 74 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f FIELD_offset,.-1,.0,.....XTENSA_
f300 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 OPERAND_IS_PCRELATIVE,.....Opera
f320 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 5f 65 6e 63 6f 64 65 2c 20 4f ndSem_opnd_sem_soffset_encode,.O
f340 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 5f 64 65 63 6f 64 perandSem_opnd_sem_soffset_decod
f360 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 5f 61 74 6f 72 2c 20 4f 70 65 e,.....Operand_soffset_ator,.Ope
f380 72 61 6e 64 5f 73 6f 66 66 73 65 74 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 31 36 rand_soffset_rtoa.},...{."uimm16
f3a0 78 34 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 31 36 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 x4",.FIELD_imm16,.-1,.0,.....XTE
f3c0 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f NSA_OPERAND_IS_PCRELATIVE,.....O
f3e0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 36 78 34 5f 65 6e 63 6f perandSem_opnd_sem_uimm16x4_enco
f400 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 36 78 34 de,.OperandSem_opnd_sem_uimm16x4
f420 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 31 36 78 34 5f 61 74 _decode,.....Operand_uimm16x4_at
f440 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 31 36 78 34 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b or,.Operand_uimm16x4_rtoa.},...{
f460 20 22 62 62 69 22 2c 20 46 49 45 4c 44 5f 62 62 69 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c ."bbi",.FIELD_bbi,.-1,.0,.....0,
f480 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 65 6e 63 .....OperandSem_opnd_sem_bbi_enc
f4a0 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 63 ode,.OperandSem_opnd_sem_bbi_dec
f4c0 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 65 22 2c 20 46 49 45 4c ode,.....0,.0.},...{."sae",.FIEL
f4e0 44 5f 73 61 65 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 D_sae,.-1,.0,.....0,.....Operand
f500 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 Sem_opnd_sem_bbi_encode,.Operand
f520 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 Sem_opnd_sem_bbi_decode,.....0,.
f540 30 20 7d 2c 0a 20 20 7b 20 22 73 61 73 22 2c 20 46 49 45 4c 44 5f 73 61 73 2c 20 2d 31 2c 20 30 0.},...{."sas",.FIELD_sas,.-1,.0
f560 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d ,.....0,.....OperandSem_opnd_sem
f580 5f 62 62 69 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d _bbi_encode,.OperandSem_opnd_sem
f5a0 5f 62 62 69 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 _bbi_decode,.....0,.0.},...{."sa
f5c0 72 67 74 22 2c 20 46 49 45 4c 44 5f 73 61 72 67 74 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c rgt",.FIELD_sargt,.-1,.0,.....0,
f5e0 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 65 6e 63 .....OperandSem_opnd_sem_bbi_enc
f600 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 63 ode,.OperandSem_opnd_sem_bbi_dec
f620 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 22 2c 20 46 49 45 4c 44 5f ode,.....0,.0.},...{."s",.FIELD_
f640 73 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f s,.-1,.0,.....0,.....OperandSem_
f660 6f 70 6e 64 5f 73 65 6d 5f 73 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 opnd_sem_s_encode,.OperandSem_op
f680 6e 64 5f 73 65 6d 5f 73 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b nd_sem_s_decode,.....0,.0.},...{
f6a0 20 22 6d 78 22 2c 20 46 49 45 4c 44 5f 78 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 ."mx",.FIELD_x,.REGFILE_MR,.1,..
f6c0 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 ...XTENSA_OPERAND_IS_REGISTER.|.
f6e0 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 55 4e 4b 4e 4f 57 4e 2c 0a 20 20 20 20 4f XTENSA_OPERAND_IS_UNKNOWN,.....O
f700 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 65 6e 63 6f 64 65 2c 20 4f 70 perandSem_opnd_sem_MR_encode,.Op
f720 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 64 65 63 6f 64 65 2c 0a 20 20 20 erandSem_opnd_sem_MR_decode,....
f740 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 79 22 2c 20 46 49 45 4c 44 5f 79 2c 20 52 45 47 46 .0,.0.},...{."my",.FIELD_y,.REGF
f760 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 ILE_MR,.1,.....XTENSA_OPERAND_IS
f780 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 55 4e _REGISTER.|.XTENSA_OPERAND_IS_UN
f7a0 4b 4e 4f 57 4e 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d KNOWN,.....OperandSem_opnd_sem_M
f7c0 52 5f 30 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f R_0_encode,.OperandSem_opnd_sem_
f7e0 4d 52 5f 30 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 77 MR_0_decode,.....0,.0.},...{."mw
f800 22 2c 20 46 49 45 4c 44 5f 77 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 ",.FIELD_w,.REGFILE_MR,.1,.....X
f820 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 2c 0a 20 20 20 20 4f TENSA_OPERAND_IS_REGISTER,.....O
f840 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 31 5f 65 6e 63 6f 64 65 2c 20 perandSem_opnd_sem_MR_1_encode,.
f860 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 31 5f 64 65 63 6f 64 65 2c OperandSem_opnd_sem_MR_1_decode,
f880 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 72 30 22 2c 20 46 49 45 4c 44 5f 5f 6d .....0,.0.},...{."mr0",.FIELD__m
f8a0 72 30 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 r0,.REGFILE_MR,.1,.....XTENSA_OP
f8c0 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 ERAND_IS_REGISTER.|.XTENSA_OPERA
f8e0 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f ND_IS_INVISIBLE,.....OperandSem_
f900 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 32 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d opnd_sem_MR_2_encode,.OperandSem
f920 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 32 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 _opnd_sem_MR_2_decode,.....0,.0.
f940 7d 2c 0a 20 20 7b 20 22 6d 72 31 22 2c 20 46 49 45 4c 44 5f 5f 6d 72 31 2c 20 52 45 47 46 49 4c },...{."mr1",.FIELD__mr1,.REGFIL
f960 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 E_MR,.1,.....XTENSA_OPERAND_IS_R
f980 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 EGISTER.|.XTENSA_OPERAND_IS_INVI
f9a0 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d SIBLE,.....OperandSem_opnd_sem_M
f9c0 52 5f 33 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f R_3_encode,.OperandSem_opnd_sem_
f9e0 4d 52 5f 33 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 72 MR_3_decode,.....0,.0.},...{."mr
fa00 32 22 2c 20 46 49 45 4c 44 5f 5f 6d 72 32 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 2",.FIELD__mr2,.REGFILE_MR,.1,..
fa20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 ...XTENSA_OPERAND_IS_REGISTER.|.
fa40 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 XTENSA_OPERAND_IS_INVISIBLE,....
fa60 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 34 5f 65 6e 63 6f 64 65 .OperandSem_opnd_sem_MR_4_encode
fa80 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 34 5f 64 65 63 6f 64 ,.OperandSem_opnd_sem_MR_4_decod
faa0 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 72 33 22 2c 20 46 49 45 4c 44 5f e,.....0,.0.},...{."mr3",.FIELD_
fac0 5f 6d 72 33 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f _mr3,.REGFILE_MR,.1,.....XTENSA_
fae0 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 OPERAND_IS_REGISTER.|.XTENSA_OPE
fb00 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 RAND_IS_INVISIBLE,.....OperandSe
fb20 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 35 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 m_opnd_sem_MR_5_encode,.OperandS
fb40 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 35 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 em_opnd_sem_MR_5_decode,.....0,.
fb60 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 74 22 2c 20 46 49 45 4c 44 5f 74 2c 20 2d 31 2c 20 30 2c 0.},...{."immt",.FIELD_t,.-1,.0,
fb80 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f .....0,.....OperandSem_opnd_sem_
fba0 69 6d 6d 74 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d immt_encode,.OperandSem_opnd_sem
fbc0 5f 69 6d 6d 74 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 _immt_decode,.....0,.0.},...{."i
fbe0 6d 6d 73 22 2c 20 46 49 45 4c 44 5f 73 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 mms",.FIELD_s,.-1,.0,.....0,....
fc00 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 74 5f 65 6e 63 6f 64 65 .OperandSem_opnd_sem_immt_encode
fc20 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 74 5f 64 65 63 6f 64 ,.OperandSem_opnd_sem_immt_decod
fc40 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 74 70 37 22 2c 20 46 49 45 4c 44 5f e,.....0,.0.},...{."tp7",.FIELD_
fc60 74 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f t,.-1,.0,.....0,.....OperandSem_
fc80 6f 70 6e 64 5f 73 65 6d 5f 74 70 37 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f opnd_sem_tp7_encode,.OperandSem_
fca0 6f 70 6e 64 5f 73 65 6d 5f 74 70 37 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c opnd_sem_tp7_decode,.....0,.0.},
fcc0 0a 20 20 7b 20 22 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 22 2c 20 46 49 45 4c 44 5f 78 74 5f ...{."xt_wbr15_label",.FIELD_xt_
fce0 77 62 72 31 35 5f 69 6d 6d 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 wbr15_imm,.-1,.0,.....XTENSA_OPE
fd00 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 RAND_IS_PCRELATIVE,.....OperandS
fd20 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 65 6e 63 6f 64 em_opnd_sem_xt_wbr15_label_encod
fd40 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 78 74 5f 77 62 72 31 35 5f e,.OperandSem_opnd_sem_xt_wbr15_
fd60 6c 61 62 65 6c 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 78 74 5f 77 62 72 label_decode,.....Operand_xt_wbr
fd80 31 35 5f 6c 61 62 65 6c 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 78 74 5f 77 62 72 31 35 5f 15_label_ator,.Operand_xt_wbr15_
fda0 6c 61 62 65 6c 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 78 74 5f 77 62 72 31 38 5f 6c 61 62 65 label_rtoa.},...{."xt_wbr18_labe
fdc0 6c 22 2c 20 46 49 45 4c 44 5f 78 74 5f 77 62 72 31 38 5f 69 6d 6d 2c 20 2d 31 2c 20 30 2c 0a 20 l",.FIELD_xt_wbr18_imm,.-1,.0,..
fde0 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c ...XTENSA_OPERAND_IS_PCRELATIVE,
fe00 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 .....OperandSem_opnd_sem_soffset
fe20 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 _encode,.OperandSem_opnd_sem_sof
fe40 66 73 65 74 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 78 74 5f 77 62 72 31 fset_decode,.....Operand_xt_wbr1
fe60 38 5f 6c 61 62 65 6c 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 78 74 5f 77 62 72 31 38 5f 6c 8_label_ator,.Operand_xt_wbr18_l
fe80 61 62 65 6c 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 62 69 74 69 6e 64 65 78 22 2c 20 46 49 45 abel_rtoa.},...{."bitindex",.FIE
fea0 4c 44 5f 62 69 74 69 6e 64 65 78 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f LD_bitindex,.-1,.0,.....0,.....O
fec0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 65 6e 63 6f 64 65 2c 20 4f perandSem_opnd_sem_bbi_encode,.O
fee0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 63 6f 64 65 2c 0a 20 perandSem_opnd_sem_bbi_decode,..
ff00 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 74 22 2c 20 46 49 45 4c 44 5f 74 2c 20 2d 31 2c ...0,.0.},...{."t",.FIELD_t,.-1,
ff20 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 69 34 22 2c .0,.0,.0,.0,.0,.0.},...{."bbi4",
ff40 20 46 49 45 4c 44 5f 62 62 69 34 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 .FIELD_bbi4,.-1,.0,.0,.0,.0,.0,.
ff60 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 31 32 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 31 32 2c 20 2d 0.},...{."imm12",.FIELD_imm12,.-
ff80 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 38 1,.0,.0,.0,.0,.0,.0.},...{."imm8
ffa0 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 ",.FIELD_imm8,.-1,.0,.0,.0,.0,.0
ffc0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 31 32 62 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 31 32 ,.0.},...{."imm12b",.FIELD_imm12
ffe0 62 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 b,.-1,.0,.0,.0,.0,.0,.0.},...{."
10000 69 6d 6d 31 36 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 31 36 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 imm16",.FIELD_imm16,.-1,.0,.0,.0
10020 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 22 2c 20 46 49 45 4c 44 5f 6d 2c 20 2d ,.0,.0,.0.},...{."m",.FIELD_m,.-
10040 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6e 22 2c 20 1,.0,.0,.0,.0,.0,.0.},...{."n",.
10060 46 49 45 4c 44 5f 6e 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c FIELD_n,.-1,.0,.0,.0,.0,.0,.0.},
10080 0a 20 20 7b 20 22 6f 66 66 73 65 74 22 2c 20 46 49 45 4c 44 5f 6f 66 66 73 65 74 2c 20 2d 31 2c ...{."offset",.FIELD_offset,.-1,
100a0 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6f 70 30 22 2c 20 .0,.0,.0,.0,.0,.0.},...{."op0",.
100c0 46 49 45 4c 44 5f 6f 70 30 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 FIELD_op0,.-1,.0,.0,.0,.0,.0,.0.
100e0 7d 2c 0a 20 20 7b 20 22 6f 70 31 22 2c 20 46 49 45 4c 44 5f 6f 70 31 2c 20 2d 31 2c 20 30 2c 20 },...{."op1",.FIELD_op1,.-1,.0,.
10100 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6f 70 32 22 2c 20 46 49 45 4c 0,.0,.0,.0,.0.},...{."op2",.FIEL
10120 44 5f 6f 70 32 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 D_op2,.-1,.0,.0,.0,.0,.0,.0.},..
10140 20 7b 20 22 72 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c .{."r",.FIELD_r,.-1,.0,.0,.0,.0,
10160 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 34 22 2c 20 46 49 45 4c 44 5f 73 61 34 2c 20 2d .0,.0.},...{."sa4",.FIELD_sa4,.-
10180 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 65 34 1,.0,.0,.0,.0,.0,.0.},...{."sae4
101a0 22 2c 20 46 49 45 4c 44 5f 73 61 65 34 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 ",.FIELD_sae4,.-1,.0,.0,.0,.0,.0
101c0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 6c 22 2c 20 46 49 45 4c 44 5f 73 61 6c 2c 20 2d 31 2c ,.0.},...{."sal",.FIELD_sal,.-1,
101e0 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 73 34 22 2c .0,.0,.0,.0,.0,.0.},...{."sas4",
10200 20 46 49 45 4c 44 5f 73 61 73 34 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 .FIELD_sas4,.-1,.0,.0,.0,.0,.0,.
10220 30 20 7d 2c 0a 20 20 7b 20 22 73 72 22 2c 20 46 49 45 4c 44 5f 73 72 2c 20 2d 31 2c 20 30 2c 20 0.},...{."sr",.FIELD_sr,.-1,.0,.
10240 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 74 22 2c 20 46 49 45 4c 44 0,.0,.0,.0,.0.},...{."st",.FIELD
10260 5f 73 74 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _st,.-1,.0,.0,.0,.0,.0,.0.},...{
10280 20 22 74 68 69 33 22 2c 20 46 49 45 4c 44 5f 74 68 69 33 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 ."thi3",.FIELD_thi3,.-1,.0,.0,.0
102a0 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 34 22 2c 20 46 49 45 4c 44 5f 69 ,.0,.0,.0.},...{."imm4",.FIELD_i
102c0 6d 6d 34 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b mm4,.-1,.0,.0,.0,.0,.0,.0.},...{
102e0 20 22 6d 6e 22 2c 20 46 49 45 4c 44 5f 6d 6e 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c ."mn",.FIELD_mn,.-1,.0,.0,.0,.0,
10300 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 22 2c 20 46 49 45 4c 44 5f 69 2c 20 2d 31 2c 20 30 .0,.0.},...{."i",.FIELD_i,.-1,.0
10320 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 36 6c 6f 22 2c ,.0,.0,.0,.0,.0.},...{."imm6lo",
10340 20 46 49 45 4c 44 5f 69 6d 6d 36 6c 6f 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 .FIELD_imm6lo,.-1,.0,.0,.0,.0,.0
10360 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 36 68 69 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 36 68 ,.0.},...{."imm6hi",.FIELD_imm6h
10380 69 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 i,.-1,.0,.0,.0,.0,.0,.0.},...{."
103a0 69 6d 6d 37 6c 6f 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 37 6c 6f 2c 20 2d 31 2c 20 30 2c 20 30 2c imm7lo",.FIELD_imm7lo,.-1,.0,.0,
103c0 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 37 68 69 22 2c 20 46 49 45 .0,.0,.0,.0.},...{."imm7hi",.FIE
103e0 4c 44 5f 69 6d 6d 37 68 69 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 LD_imm7hi,.-1,.0,.0,.0,.0,.0,.0.
10400 7d 2c 0a 20 20 7b 20 22 7a 22 2c 20 46 49 45 4c 44 5f 7a 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 },...{."z",.FIELD_z,.-1,.0,.0,.0
10420 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 36 22 2c 20 46 49 45 4c 44 5f 69 ,.0,.0,.0.},...{."imm6",.FIELD_i
10440 6d 6d 36 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b mm6,.-1,.0,.0,.0,.0,.0,.0.},...{
10460 20 22 69 6d 6d 37 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 37 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 ."imm7",.FIELD_imm7,.-1,.0,.0,.0
10480 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 33 22 2c 20 46 49 45 4c 44 5f 72 33 2c ,.0,.0,.0.},...{."r3",.FIELD_r3,
104a0 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 62 .-1,.0,.0,.0,.0,.0,.0.},...{."rb
104c0 69 74 32 22 2c 20 46 49 45 4c 44 5f 72 62 69 74 32 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 it2",.FIELD_rbit2,.-1,.0,.0,.0,.
104e0 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 68 69 22 2c 20 46 49 45 4c 44 5f 72 68 69 2c 0,.0,.0.},...{."rhi",.FIELD_rhi,
10500 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 74 33 .-1,.0,.0,.0,.0,.0,.0.},...{."t3
10520 22 2c 20 46 49 45 4c 44 5f 74 33 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 ",.FIELD_t3,.-1,.0,.0,.0,.0,.0,.
10540 30 20 7d 2c 0a 20 20 7b 20 22 74 62 69 74 32 22 2c 20 46 49 45 4c 44 5f 74 62 69 74 32 2c 20 2d 0.},...{."tbit2",.FIELD_tbit2,.-
10560 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 74 6c 6f 22 1,.0,.0,.0,.0,.0,.0.},...{."tlo"
10580 2c 20 46 49 45 4c 44 5f 74 6c 6f 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 ,.FIELD_tlo,.-1,.0,.0,.0,.0,.0,.
105a0 30 20 7d 2c 0a 20 20 7b 20 22 77 22 2c 20 46 49 45 4c 44 5f 77 2c 20 2d 31 2c 20 30 2c 20 30 2c 0.},...{."w",.FIELD_w,.-1,.0,.0,
105c0 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 79 22 2c 20 46 49 45 4c 44 5f 79 2c .0,.0,.0,.0.},...{."y",.FIELD_y,
105e0 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 22 .-1,.0,.0,.0,.0,.0,.0.},...{."x"
10600 2c 20 46 49 45 4c 44 5f 78 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 ,.FIELD_x,.-1,.0,.0,.0,.0,.0,.0.
10620 7d 2c 0a 20 20 7b 20 22 78 74 5f 77 62 72 31 35 5f 69 6d 6d 22 2c 20 46 49 45 4c 44 5f 78 74 5f },...{."xt_wbr15_imm",.FIELD_xt_
10640 77 62 72 31 35 5f 69 6d 6d 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 wbr15_imm,.-1,.0,.0,.0,.0,.0,.0.
10660 7d 2c 0a 20 20 7b 20 22 78 74 5f 77 62 72 31 38 5f 69 6d 6d 22 2c 20 46 49 45 4c 44 5f 78 74 5f },...{."xt_wbr18_imm",.FIELD_xt_
10680 77 62 72 31 38 5f 69 6d 6d 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 wbr18_imm,.-1,.0,.0,.0,.0,.0,.0.
106a0 7d 2c 0a 20 20 7b 20 22 73 33 74 6f 31 22 2c 20 46 49 45 4c 44 5f 73 33 74 6f 31 2c 20 2d 31 2c },...{."s3to1",.FIELD_s3to1,.-1,
106c0 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 0a 7d 3b 0a 0a 65 6e 75 6d 20 78 74 65 .0,.0,.0,.0,.0,.0.}.};..enum.xte
106e0 6e 73 61 5f 6f 70 65 72 61 6e 64 5f 69 64 20 7b 0a 20 20 4f 50 45 52 41 4e 44 5f 73 6f 66 66 73 nsa_operand_id.{...OPERAND_soffs
10700 65 74 78 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 31 32 78 38 2c 0a 20 20 4f 50 45 52 etx4,...OPERAND_uimm12x8,...OPER
10720 41 4e 44 5f 73 69 6d 6d 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 72 2c 0a 20 20 4f 50 45 52 AND_simm4,...OPERAND_arr,...OPER
10740 41 4e 44 5f 61 72 73 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 5f 61 72 73 5f 69 6e 76 69 73 69 62 6c AND_ars,...OPERAND__ars_invisibl
10760 65 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 30 2c e,...OPERAND_art,...OPERAND_ar0,
10780 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 38 2c 0a 20 ...OPERAND_ar4,...OPERAND_ar8,..
107a0 20 4f 50 45 52 41 4e 44 5f 61 72 31 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 73 5f 65 6e 74 .OPERAND_ar12,...OPERAND_ars_ent
107c0 72 79 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 72 78 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f ry,...OPERAND_immrx4,...OPERAND_
107e0 6c 73 69 34 78 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 37 2c 0a 20 20 4f 50 45 52 41 lsi4x4,...OPERAND_simm7,...OPERA
10800 4e 44 5f 75 69 6d 6d 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 69 34 63 6f 6e 73 74 2c 0a 20 20 ND_uimm6,...OPERAND_ai4const,...
10820 4f 50 45 52 41 4e 44 5f 62 34 63 6f 6e 73 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 62 34 63 6f 6e OPERAND_b4const,...OPERAND_b4con
10840 73 74 75 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f stu,...OPERAND_uimm8,...OPERAND_
10860 75 69 6d 6d 38 78 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 2c 0a 20 20 4f 50 uimm8x2,...OPERAND_uimm8x4,...OP
10880 45 52 41 4e 44 5f 75 69 6d 6d 34 78 31 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 72 78 ERAND_uimm4x16,...OPERAND_uimmrx
108a0 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 69 4,...OPERAND_simm8,...OPERAND_si
108c0 6d 6d 38 78 32 35 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 31 32 62 2c 0a 20 20 4f 50 mm8x256,...OPERAND_simm12b,...OP
108e0 45 52 41 4e 44 5f 6d 73 61 6c 70 33 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 70 32 70 31 2c 0a ERAND_msalp32,...OPERAND_op2p1,.
10900 20 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 6c 61 62 ..OPERAND_label8,...OPERAND_ulab
10920 65 6c 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 31 32 2c 0a 20 20 4f 50 45 52 41 4e el8,...OPERAND_label12,...OPERAN
10940 44 5f 73 6f 66 66 73 65 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 31 36 78 34 2c 0a 20 D_soffset,...OPERAND_uimm16x4,..
10960 20 4f 50 45 52 41 4e 44 5f 62 62 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 61 65 2c 0a 20 20 4f .OPERAND_bbi,...OPERAND_sae,...O
10980 50 45 52 41 4e 44 5f 73 61 73 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 61 72 67 74 2c 0a 20 20 4f PERAND_sas,...OPERAND_sargt,...O
109a0 50 45 52 41 4e 44 5f 73 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 78 2c 0a 20 20 4f 50 45 52 41 4e PERAND_s,...OPERAND_mx,...OPERAN
109c0 44 5f 6d 79 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 77 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 72 D_my,...OPERAND_mw,...OPERAND_mr
109e0 30 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 72 31 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 72 32 2c 0,...OPERAND_mr1,...OPERAND_mr2,
10a00 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 72 33 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 74 2c 0a ...OPERAND_mr3,...OPERAND_immt,.
10a20 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 73 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 70 37 2c 0a 20 ..OPERAND_imms,...OPERAND_tp7,..
10a40 20 4f 50 45 52 41 4e 44 5f 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 2c 0a 20 20 4f 50 45 52 41 .OPERAND_xt_wbr15_label,...OPERA
10a60 4e 44 5f 78 74 5f 77 62 72 31 38 5f 6c 61 62 65 6c 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 62 69 74 ND_xt_wbr18_label,...OPERAND_bit
10a80 69 6e 64 65 78 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 62 62 index,...OPERAND_t,...OPERAND_bb
10aa0 69 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 31 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 i4,...OPERAND_imm12,...OPERAND_i
10ac0 6d 6d 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 31 32 62 2c 0a 20 20 4f 50 45 52 41 4e 44 mm8,...OPERAND_imm12b,...OPERAND
10ae0 5f 69 6d 6d 31 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6e _imm16,...OPERAND_m,...OPERAND_n
10b00 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 66 66 73 65 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 70 ,...OPERAND_offset,...OPERAND_op
10b20 30 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 70 31 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 70 32 2c 0,...OPERAND_op1,...OPERAND_op2,
10b40 0a 20 20 4f 50 45 52 41 4e 44 5f 72 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 61 34 2c 0a 20 20 4f ...OPERAND_r,...OPERAND_sa4,...O
10b60 50 45 52 41 4e 44 5f 73 61 65 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 61 6c 2c 0a 20 20 4f 50 PERAND_sae4,...OPERAND_sal,...OP
10b80 45 52 41 4e 44 5f 73 61 73 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 72 2c 0a 20 20 4f 50 45 52 ERAND_sas4,...OPERAND_sr,...OPER
10ba0 41 4e 44 5f 73 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 68 69 33 2c 0a 20 20 4f 50 45 52 41 4e AND_st,...OPERAND_thi3,...OPERAN
10bc0 44 5f 69 6d 6d 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 6e 2c 0a 20 20 4f 50 45 52 41 4e 44 5f D_imm4,...OPERAND_mn,...OPERAND_
10be0 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 36 6c 6f 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 i,...OPERAND_imm6lo,...OPERAND_i
10c00 6d 6d 36 68 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 37 6c 6f 2c 0a 20 20 4f 50 45 52 41 mm6hi,...OPERAND_imm7lo,...OPERA
10c20 4e 44 5f 69 6d 6d 37 68 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 7a 2c 0a 20 20 4f 50 45 52 41 4e ND_imm7hi,...OPERAND_z,...OPERAN
10c40 44 5f 69 6d 6d 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 37 2c 0a 20 20 4f 50 45 52 41 4e D_imm6,...OPERAND_imm7,...OPERAN
10c60 44 5f 72 33 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 72 62 69 74 32 2c 0a 20 20 4f 50 45 52 41 4e 44 D_r3,...OPERAND_rbit2,...OPERAND
10c80 5f 72 68 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 33 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 62 _rhi,...OPERAND_t3,...OPERAND_tb
10ca0 69 74 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 6c 6f 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 77 2c it2,...OPERAND_tlo,...OPERAND_w,
10cc0 0a 20 20 4f 50 45 52 41 4e 44 5f 79 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 78 2c 0a 20 20 4f 50 45 ...OPERAND_y,...OPERAND_x,...OPE
10ce0 52 41 4e 44 5f 78 74 5f 77 62 72 31 35 5f 69 6d 6d 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 78 74 5f RAND_xt_wbr15_imm,...OPERAND_xt_
10d00 77 62 72 31 38 5f 69 6d 6d 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 33 74 6f 31 0a 7d 3b 0a 0a 0c wbr18_imm,...OPERAND_s3to1.};...
10d20 0a 2f 2a 20 49 63 6c 61 73 73 20 74 61 62 6c 65 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 78 74 ./*.Iclass.table...*/..static.xt
10d40 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
10d60 73 73 5f 72 66 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 ss_rfe_stateArgs[].=.{...{.{.STA
10d80 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
10da0 50 53 45 58 43 4d 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 PSEXCM.},.'m'.},...{.{.STATE_EPC
10dc0 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 1.},.'i'.}.};..static.xtensa_arg
10de0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 65 5f _internal.Iclass_xt_iclass_rfde_
10e00 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
10e20 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
10e40 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 50 43 20 7d 2c 20 27 69 },.'i'.},...{.{.STATE_DEPC.},.'i
10e60 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
10e80 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 5f 61 72 67 73 5b al.Iclass_xt_iclass_call12_args[
10ea0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 6f 66 66 73 65 74 78 34 20 7d 2c ].=.{...{.{.OPERAND_soffsetx4.},
10ec0 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 31 32 20 7d 2c 20 27 6f .'i'.},...{.{.OPERAND_ar12.},.'o
10ee0 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
10f00 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 5f 73 74 61 74 65 al.Iclass_xt_iclass_call12_state
10f20 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 Args[].=.{...{.{.STATE_PSCALLINC
10f40 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
10f60 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 38 5f internal.Iclass_xt_iclass_call8_
10f80 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 6f 66 66 73 65 74 args[].=.{...{.{.OPERAND_soffset
10fa0 78 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 38 20 7d x4.},.'i'.},...{.{.OPERAND_ar8.}
10fc0 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
10fe0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 38 5f 73 74 ternal.Iclass_xt_iclass_call8_st
11000 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c ateArgs[].=.{...{.{.STATE_PSCALL
11020 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 INC.},.'o'.}.};..static.xtensa_a
11040 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c rg_internal.Iclass_xt_iclass_cal
11060 6c 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 6f 66 66 l4_args[].=.{...{.{.OPERAND_soff
11080 73 65 74 78 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 setx4.},.'i'.},...{.{.OPERAND_ar
110a0 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 4.},.'o'.}.};..static.xtensa_arg
110c0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 34 _internal.Iclass_xt_iclass_call4
110e0 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 _stateArgs[].=.{...{.{.STATE_PSC
11100 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ALLINC.},.'o'.}.};..static.xtens
11120 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
11140 63 61 6c 6c 78 31 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 callx12_args[].=.{...{.{.OPERAND
11160 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 31 _ars.},.'i'.},...{.{.OPERAND_ar1
11180 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 2.},.'o'.}.};..static.xtensa_arg
111a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 _internal.Iclass_xt_iclass_callx
111c0 31 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 12_stateArgs[].=.{...{.{.STATE_P
111e0 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 SCALLINC.},.'o'.}.};..static.xte
11200 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
11220 73 5f 63 61 6c 6c 78 38 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e s_callx8_args[].=.{...{.{.OPERAN
11240 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 D_ars.},.'i'.},...{.{.OPERAND_ar
11260 38 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 8.},.'o'.}.};..static.xtensa_arg
11280 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 _internal.Iclass_xt_iclass_callx
112a0 38 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 8_stateArgs[].=.{...{.{.STATE_PS
112c0 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e CALLINC.},.'o'.}.};..static.xten
112e0 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
11300 5f 63 61 6c 6c 78 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 _callx4_args[].=.{...{.{.OPERAND
11320 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 34 _ars.},.'i'.},...{.{.OPERAND_ar4
11340 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
11360 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 34 internal.Iclass_xt_iclass_callx4
11380 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 _stateArgs[].=.{...{.{.STATE_PSC
113a0 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ALLINC.},.'o'.}.};..static.xtens
113c0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
113e0 65 6e 74 72 79 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 entry_args[].=.{...{.{.OPERAND_a
11400 72 73 5f 65 6e 74 72 79 20 7d 2c 20 27 73 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 rs_entry.},.'s'.},...{.{.OPERAND
11420 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d _ars.},.'i'.},...{.{.OPERAND_uim
11440 6d 31 32 78 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 m12x8.},.'i'.}.};..static.xtensa
11460 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 _arg_internal.Iclass_xt_iclass_e
11480 6e 74 72 79 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 ntry_stateArgs[].=.{...{.{.STATE
114a0 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 _PSCALLINC.},.'i'.},...{.{.STATE
114c0 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
114e0 57 4f 45 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 WOE.},.'i'.},...{.{.STATE_Window
11500 42 61 73 65 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f Base.},.'m'.},...{.{.STATE_Windo
11520 77 53 74 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 wStart.},.'m'.}.};..static.xtens
11540 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
11560 6d 6f 76 73 70 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 movsp_args[].=.{...{.{.OPERAND_a
11580 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d rt.},.'o'.},...{.{.OPERAND_ars.}
115a0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
115c0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 73 70 5f 73 74 ternal.Iclass_xt_iclass_movsp_st
115e0 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 ateArgs[].=.{...{.{.STATE_Window
11600 42 61 73 65 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f Base.},.'i'.},...{.{.STATE_Windo
11620 77 53 74 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 wStart.},.'i'.}.};..static.xtens
11640 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
11660 72 6f 74 77 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 69 rotw_args[].=.{...{.{.OPERAND_si
11680 6d 6d 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 mm4.},.'i'.}.};..static.xtensa_a
116a0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 6f 74 rg_internal.Iclass_xt_iclass_rot
116c0 77 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 w_stateArgs[].=.{...{.{.STATE_PS
116e0 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
11700 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 42 61 G.},.'i'.},...{.{.STATE_WindowBa
11720 73 65 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 se.},.'m'.}.};..static.xtensa_ar
11740 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 77 g_internal.Iclass_xt_iclass_retw
11760 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 5f 61 72 73 5f 69 _args[].=.{...{.{.OPERAND__ars_i
11780 6e 76 69 73 69 62 6c 65 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 nvisible.},.'i'.}.};..static.xte
117a0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
117c0 73 5f 72 65 74 77 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 s_retw_stateArgs[].=.{...{.{.STA
117e0 54 45 5f 57 69 6e 64 6f 77 42 61 73 65 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 TE_WindowBase.},.'m'.},...{.{.ST
11800 41 54 45 5f 57 69 6e 64 6f 77 53 74 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 ATE_WindowStart.},.'m'.},...{.{.
11820 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 STATE_PSCALLINC.},.'o'.},...{.{.
11840 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
11860 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 TE_PSWOE.},.'i'.}.};..static.xte
11880 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
118a0 73 5f 72 66 77 6f 75 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 s_rfwou_stateArgs[].=.{...{.{.ST
118c0 41 54 45 5f 45 50 43 31 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 ATE_EPC1.},.'i'.},...{.{.STATE_P
118e0 53 45 58 43 4d 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'m'.},...{.{.STATE_PSRI
11900 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 42 NG.},.'i'.},...{.{.STATE_WindowB
11920 61 73 65 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 ase.},.'m'.},...{.{.STATE_Window
11940 53 74 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 4f 57 Start.},.'m'.},...{.{.STATE_PSOW
11960 42 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 B.},.'i'.}.};..static.xtensa_arg
11980 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 5f _internal.Iclass_xt_iclass_l32e_
119a0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
119c0 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 'o'.},...{.{.OPERAND_ars.},.'i'.
119e0 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 72 78 34 20 7d 2c 20 27 69 27 20 7d },...{.{.OPERAND_immrx4.},.'i'.}
11a00 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
11a20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 5f 73 74 61 74 65 41 72 67 73 5b Iclass_xt_iclass_l32e_stateArgs[
11a40 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 ].=.{...{.{.STATE_PSEXCM.},.'i'.
11a60 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d },...{.{.STATE_PSRING.},.'i'.}.}
11a80 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
11aa0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 lass_xt_iclass_s32e_args[].=.{..
11ac0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b .{.{.OPERAND_art.},.'i'.},...{.{
11ae0 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 .OPERAND_ars.},.'i'.},...{.{.OPE
11b00 52 41 4e 44 5f 69 6d 6d 72 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 RAND_immrx4.},.'i'.}.};..static.
11b20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
11b40 6c 61 73 73 5f 73 33 32 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 lass_s32e_stateArgs[].=.{...{.{.
11b60 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
11b80 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 TE_PSRING.},.'i'.}.};..static.xt
11ba0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
11bc0 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ss_rsr_windowbase_args[].=.{...{
11be0 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.OPERAND_art.},.'o'.}.};..stat
11c00 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
11c20 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 _iclass_rsr_windowbase_stateArgs
11c40 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 [].=.{...{.{.STATE_PSEXCM.},.'i'
11c60 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c .},...{.{.STATE_PSRING.},.'i'.},
11c80 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 42 61 73 65 20 7d 2c 20 27 69 27 20 7d ...{.{.STATE_WindowBase.},.'i'.}
11ca0 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
11cc0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f Iclass_xt_iclass_wsr_windowbase_
11ce0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
11d00 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
11d20 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 rnal.Iclass_xt_iclass_wsr_window
11d40 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 base_stateArgs[].=.{...{.{.STATE
11d60 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
11d80 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f RING.},.'i'.},...{.{.STATE_Windo
11da0 77 42 61 73 65 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 wBase.},.'o'.}.};..static.xtensa
11dc0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
11de0 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f sr_windowbase_args[].=.{...{.{.O
11e00 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'m'.}.};..static.x
11e20 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
11e40 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d ass_xsr_windowbase_stateArgs[].=
11e60 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a .{...{.{.STATE_PSEXCM.},.'i'.},.
11e80 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSRING.},.'i'.},...{
11ea0 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 42 61 73 65 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a .{.STATE_WindowBase.},.'m'.}.};.
11ec0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
11ee0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 72 67 ss_xt_iclass_rsr_windowstart_arg
11f00 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
11f20 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
11f40 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 l.Iclass_xt_iclass_rsr_windowsta
11f60 72 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 rt_stateArgs[].=.{...{.{.STATE_P
11f80 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'i'.},...{.{.STATE_PSRI
11fa0 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 53 NG.},.'i'.},...{.{.STATE_WindowS
11fc0 74 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f tart.},.'i'.}.};..static.xtensa_
11fe0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 arg_internal.Iclass_xt_iclass_ws
12000 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f r_windowstart_args[].=.{...{.{.O
12020 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
12040 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
12060 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 ass_wsr_windowstart_stateArgs[].
12080 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
120a0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
120c0 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 53 74 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d {.{.STATE_WindowStart.},.'o'.}.}
120e0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
12100 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 lass_xt_iclass_xsr_windowstart_a
12120 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
12140 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
12160 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 nal.Iclass_xt_iclass_xsr_windows
12180 74 61 72 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 tart_stateArgs[].=.{...{.{.STATE
121a0 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
121c0 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f RING.},.'i'.},...{.{.STATE_Windo
121e0 77 53 74 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 wStart.},.'m'.}.};..static.xtens
12200 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
12220 61 64 64 5f 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 add_n_args[].=.{...{.{.OPERAND_a
12240 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d rr.},.'o'.},...{.{.OPERAND_ars.}
12260 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 ,.'i'.},...{.{.OPERAND_art.},.'i
12280 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
122a0 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 5f 6e 5f 61 72 67 73 5b al.Iclass_xt_iclass_addi_n_args[
122c0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_arr.},.'o'.}
122e0 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ,...{.{.OPERAND_ars.},.'i'.},...
12300 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 69 34 63 6f 6e 73 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b {.{.OPERAND_ai4const.},.'i'.}.};
12320 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
12340 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 7a 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_xt_iclass_bz6_args[].=.{...{
12360 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
12380 50 45 52 41 4e 44 5f 75 69 6d 6d 36 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 PERAND_uimm6.},.'i'.}.};..static
123a0 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
123c0 63 6c 61 73 73 5f 6c 6f 61 64 69 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 class_loadi4_args[].=.{...{.{.OP
123e0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e ERAND_art.},.'o'.},...{.{.OPERAN
12400 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 73 D_ars.},.'i'.},...{.{.OPERAND_ls
12420 69 34 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f i4x4.},.'i'.}.};..static.xtensa_
12440 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f arg_internal.Iclass_xt_iclass_mo
12460 76 5f 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 v_n_args[].=.{...{.{.OPERAND_art
12480 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 .},.'o'.},...{.{.OPERAND_ars.},.
124a0 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
124c0 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 69 5f 6e 5f 61 72 67 rnal.Iclass_xt_iclass_movi_n_arg
124e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_ars.},.'o'
12500 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 37 20 7d 2c 20 27 69 27 20 7d .},...{.{.OPERAND_simm7.},.'i'.}
12520 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
12540 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b Iclass_xt_iclass_retn_args[].=.{
12560 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 5f 61 72 73 5f 69 6e 76 69 73 69 62 6c 65 20 7d 2c ...{.{.OPERAND__ars_invisible.},
12580 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
125a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 74 6f 72 65 69 34 5f 61 ernal.Iclass_xt_iclass_storei4_a
125c0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
125e0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d i'.},...{.{.OPERAND_ars.},.'i'.}
12600 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 73 69 34 78 34 20 7d 2c 20 27 69 27 20 7d 0a ,...{.{.OPERAND_lsi4x4.},.'i'.}.
12620 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
12640 63 6c 61 73 73 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 class_rur_threadptr_args[].=.{..
12660 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_arr.},.'o'.}.};..st
12680 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
126a0 72 75 72 5f 74 68 72 65 61 64 70 74 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 rur_threadptr_stateArgs[].=.{...
126c0 7b 20 7b 20 53 54 41 54 45 5f 54 48 52 45 41 44 50 54 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a {.{.STATE_THREADPTR.},.'i'.}.};.
126e0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
12700 73 73 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_wur_threadptr_args[].=.{...{.
12720 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
12740 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 77 75 72 c.xtensa_arg_internal.Iclass_wur
12760 5f 74 68 72 65 61 64 70 74 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b _threadptr_stateArgs[].=.{...{.{
12780 20 53 54 41 54 45 5f 54 48 52 45 41 44 50 54 52 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .STATE_THREADPTR.},.'o'.}.};..st
127a0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
127c0 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 xt_iclass_addi_args[].=.{...{.{.
127e0 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 OPERAND_art.},.'o'.},...{.{.OPER
12800 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f AND_ars.},.'i'.},...{.{.OPERAND_
12820 73 69 6d 6d 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 simm8.},.'i'.}.};..static.xtensa
12840 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 _arg_internal.Iclass_xt_iclass_a
12860 64 64 6d 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ddmi_args[].=.{...{.{.OPERAND_ar
12880 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c t.},.'o'.},...{.{.OPERAND_ars.},
128a0 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 38 78 32 35 36 20 .'i'.},...{.{.OPERAND_simm8x256.
128c0 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
128e0 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 5f nternal.Iclass_xt_iclass_addsub_
12900 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 args[].=.{...{.{.OPERAND_arr.},.
12920 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 'o'.},...{.{.OPERAND_ars.},.'i'.
12940 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b },...{.{.OPERAND_art.},.'i'.}.};
12960 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
12980 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 69 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_xt_iclass_bit_args[].=.{...{
129a0 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_arr.},.'o'.},...{.{.O
129c0 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_ars.},.'i'.},...{.{.OPERA
129e0 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'i'.}.};..static.xtens
12a00 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
12a20 62 73 69 38 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 bsi8_args[].=.{...{.{.OPERAND_ar
12a40 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 34 63 6f 6e 73 s.},.'i'.},...{.{.OPERAND_b4cons
12a60 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 38 t.},.'i'.},...{.{.OPERAND_label8
12a80 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
12aa0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 5f internal.Iclass_xt_iclass_bsi8b_
12ac0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 args[].=.{...{.{.OPERAND_ars.},.
12ae0 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 62 69 20 7d 2c 20 27 69 27 20 'i'.},...{.{.OPERAND_bbi.},.'i'.
12b00 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 38 20 7d 2c 20 27 69 27 20 7d },...{.{.OPERAND_label8.},.'i'.}
12b20 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
12b40 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 75 5f 61 72 67 73 5b 5d 20 3d 20 Iclass_xt_iclass_bsi8u_args[].=.
12b60 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 {...{.{.OPERAND_ars.},.'i'.},...
12b80 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 34 63 6f 6e 73 74 75 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {.{.OPERAND_b4constu.},.'i'.},..
12ba0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a .{.{.OPERAND_label8.},.'i'.}.};.
12bc0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
12be0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ss_xt_iclass_bst8_args[].=.{...{
12c00 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
12c20 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_art.},.'i'.},...{.{.OPERA
12c40 4e 44 5f 6c 61 62 65 6c 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ND_label8.},.'i'.}.};..static.xt
12c60 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
12c80 73 73 5f 62 73 7a 31 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e ss_bsz12_args[].=.{...{.{.OPERAN
12ca0 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 61 D_ars.},.'i'.},...{.{.OPERAND_la
12cc0 62 65 6c 31 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 bel12.},.'i'.}.};..static.xtensa
12ce0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 _arg_internal.Iclass_xt_iclass_c
12d00 61 6c 6c 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 6f all0_args[].=.{...{.{.OPERAND_so
12d20 66 66 73 65 74 78 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f ffsetx4.},.'i'.},...{.{.OPERAND_
12d40 61 72 30 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 ar0.},.'o'.}.};..static.xtensa_a
12d60 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c rg_internal.Iclass_xt_iclass_cal
12d80 6c 78 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 lx0_args[].=.{...{.{.OPERAND_ars
12da0 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 30 20 7d 2c 20 .},.'i'.},...{.{.OPERAND_ar0.},.
12dc0 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'o'.}.};..static.xtensa_arg_inte
12de0 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 78 74 69 5f 61 72 67 73 5b rnal.Iclass_xt_iclass_exti_args[
12e00 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_arr.},.'o'.}
12e20 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ,...{.{.OPERAND_art.},.'i'.},...
12e40 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 61 65 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 {.{.OPERAND_sae.},.'i'.},...{.{.
12e60 4f 50 45 52 41 4e 44 5f 6f 70 32 70 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 OPERAND_op2p1.},.'i'.}.};..stati
12e80 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
12ea0 69 63 6c 61 73 73 5f 6a 75 6d 70 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 iclass_jump_args[].=.{...{.{.OPE
12ec0 52 41 4e 44 5f 73 6f 66 66 73 65 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 RAND_soffset.},.'i'.}.};..static
12ee0 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
12f00 63 6c 61 73 73 5f 6a 75 6d 70 78 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 class_jumpx_args[].=.{...{.{.OPE
12f20 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_ars.},.'i'.}.};..static.xte
12f40 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
12f60 73 5f 6c 31 36 75 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 s_l16ui_args[].=.{...{.{.OPERAND
12f80 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 _art.},.'o'.},...{.{.OPERAND_ars
12fa0 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 32 .},.'i'.},...{.{.OPERAND_uimm8x2
12fc0 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
12fe0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 36 73 69 5f internal.Iclass_xt_iclass_l16si_
13000 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
13020 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 'o'.},...{.{.OPERAND_ars.},.'i'.
13040 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 32 20 7d 2c 20 27 69 27 20 },...{.{.OPERAND_uimm8x2.},.'i'.
13060 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
13080 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 69 5f 61 72 67 73 5b 5d 20 3d 20 .Iclass_xt_iclass_l32i_args[].=.
130a0 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 {...{.{.OPERAND_art.},.'o'.},...
130c0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 {.{.OPERAND_ars.},.'i'.},...{.{.
130e0 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 OPERAND_uimm8x4.},.'i'.}.};..sta
13100 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
13120 74 5f 69 63 6c 61 73 73 5f 6c 33 32 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f t_iclass_l32r_args[].=.{...{.{.O
13140 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_art.},.'o'.},...{.{.OPERA
13160 4e 44 5f 75 69 6d 6d 31 36 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 ND_uimm16x4.},.'i'.}.};..static.
13180 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
131a0 6c 61 73 73 5f 6c 38 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e lass_l8i_args[].=.{...{.{.OPERAN
131c0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 D_art.},.'o'.},...{.{.OPERAND_ar
131e0 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 20 s.},.'i'.},...{.{.OPERAND_uimm8.
13200 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
13220 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 5f 61 72 nternal.Iclass_xt_iclass_loop_ar
13240 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 gs[].=.{...{.{.OPERAND_ars.},.'i
13260 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 6c 61 62 65 6c 38 20 7d 2c 20 27 69 '.},...{.{.OPERAND_ulabel8.},.'i
13280 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
132a0 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 5f 73 74 61 74 65 41 72 al.Iclass_xt_iclass_loop_stateAr
132c0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 42 45 47 20 7d 2c 20 27 6f 27 gs[].=.{...{.{.STATE_LBEG.},.'o'
132e0 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 .},...{.{.STATE_LEND.},.'o'.},..
13300 20 7b 20 7b 20 53 54 41 54 45 5f 4c 43 4f 55 4e 54 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 .{.{.STATE_LCOUNT.},.'o'.}.};..s
13320 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
13340 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 7a 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _xt_iclass_loopz_args[].=.{...{.
13360 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 {.OPERAND_ars.},.'i'.},...{.{.OP
13380 45 52 41 4e 44 5f 75 6c 61 62 65 6c 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 ERAND_ulabel8.},.'i'.}.};..stati
133a0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
133c0 69 63 6c 61 73 73 5f 6c 6f 6f 70 7a 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b iclass_loopz_stateArgs[].=.{...{
133e0 20 7b 20 53 54 41 54 45 5f 4c 42 45 47 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .{.STATE_LBEG.},.'o'.},...{.{.ST
13400 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c ATE_LEND.},.'o'.},...{.{.STATE_L
13420 43 4f 55 4e 54 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 COUNT.},.'o'.}.};..static.xtensa
13440 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d _arg_internal.Iclass_xt_iclass_m
13460 6f 76 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 ovi_args[].=.{...{.{.OPERAND_art
13480 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 31 32 62 .},.'o'.},...{.{.OPERAND_simm12b
134a0 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
134c0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 5f 61 internal.Iclass_xt_iclass_movz_a
134e0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_arr.},.'
13500 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d m'.},...{.{.OPERAND_ars.},.'i'.}
13520 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a ,...{.{.OPERAND_art.},.'i'.}.};.
13540 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
13560 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 65 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_xt_iclass_neg_args[].=.{...{.
13580 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 {.OPERAND_arr.},.'o'.},...{.{.OP
135a0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
135c0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
135e0 73 73 5f 72 65 74 75 72 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 ss_return_args[].=.{...{.{.OPERA
13600 4e 44 5f 5f 61 72 73 5f 69 6e 76 69 73 69 62 6c 65 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ND__ars_invisible.},.'i'.}.};..s
13620 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
13640 5f 78 74 5f 69 63 6c 61 73 73 5f 73 31 36 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b _xt_iclass_s16i_args[].=.{...{.{
13660 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 .OPERAND_art.},.'i'.},...{.{.OPE
13680 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 RAND_ars.},.'i'.},...{.{.OPERAND
136a0 5f 75 69 6d 6d 38 78 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 _uimm8x2.},.'i'.}.};..static.xte
136c0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
136e0 73 5f 73 33 32 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f s_s32i_args[].=.{...{.{.OPERAND_
13700 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 art.},.'i'.},...{.{.OPERAND_ars.
13720 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 },.'i'.},...{.{.OPERAND_uimm8x4.
13740 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
13760 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 6e 62 5f 61 nternal.Iclass_xt_iclass_s32nb_a
13780 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
137a0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d i'.},...{.{.OPERAND_ars.},.'i'.}
137c0 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 72 78 34 20 7d 2c 20 27 69 27 20 7d ,...{.{.OPERAND_uimmrx4.},.'i'.}
137e0 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
13800 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 38 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a Iclass_xt_iclass_s8i_args[].=.{.
13820 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 ..{.{.OPERAND_art.},.'i'.},...{.
13840 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 {.OPERAND_ars.},.'i'.},...{.{.OP
13860 45 52 41 4e 44 5f 75 69 6d 6d 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 ERAND_uimm8.},.'i'.}.};..static.
13880 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
138a0 6c 61 73 73 5f 73 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e lass_sar_args[].=.{...{.{.OPERAN
138c0 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_ars.},.'i'.}.};..static.xtensa
138e0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 _arg_internal.Iclass_xt_iclass_s
13900 61 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 ar_stateArgs[].=.{...{.{.STATE_S
13920 41 52 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 AR.},.'o'.}.};..static.xtensa_ar
13940 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 g_internal.Iclass_xt_iclass_sari
13960 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 61 73 20 7d 2c _args[].=.{...{.{.OPERAND_sas.},
13980 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
139a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 5f 73 74 61 74 ernal.Iclass_xt_iclass_sari_stat
139c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 41 52 20 7d 2c 20 27 eArgs[].=.{...{.{.STATE_SAR.},.'
139e0 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 o'.}.};..static.xtensa_arg_inter
13a00 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 5f 61 72 67 73 nal.Iclass_xt_iclass_shifts_args
13a20 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 [].=.{...{.{.OPERAND_arr.},.'o'.
13a40 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b },...{.{.OPERAND_ars.},.'i'.}.};
13a60 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
13a80 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 5f 73 74 61 74 65 41 72 67 73 5b 5d ass_xt_iclass_shifts_stateArgs[]
13aa0 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 41 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b .=.{...{.{.STATE_SAR.},.'i'.}.};
13ac0 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
13ae0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 74 5f 61 72 67 73 5b 5d 20 3d 20 7b ass_xt_iclass_shiftst_args[].=.{
13b00 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b ...{.{.OPERAND_arr.},.'o'.},...{
13b20 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
13b40 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
13b60 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
13b80 61 73 73 5f 73 68 69 66 74 73 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_shiftst_stateArgs[].=.{...{.
13ba0 7b 20 53 54 41 54 45 5f 53 41 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 {.STATE_SAR.},.'i'.}.};..static.
13bc0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
13be0 6c 61 73 73 5f 73 68 69 66 74 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 lass_shiftt_args[].=.{...{.{.OPE
13c00 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 RAND_arr.},.'o'.},...{.{.OPERAND
13c20 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'i'.}.};..static.xtensa_
13c40 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 arg_internal.Iclass_xt_iclass_sh
13c60 69 66 74 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 iftt_stateArgs[].=.{...{.{.STATE
13c80 5f 53 41 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _SAR.},.'i'.}.};..static.xtensa_
13ca0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 6c arg_internal.Iclass_xt_iclass_sl
13cc0 6c 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 li_args[].=.{...{.{.OPERAND_arr.
13ce0 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 },.'o'.},...{.{.OPERAND_ars.},.'
13d00 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 73 61 6c 70 33 32 20 7d 2c 20 27 i'.},...{.{.OPERAND_msalp32.},.'
13d20 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
13d40 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 61 69 5f 61 72 67 73 5b 5d nal.Iclass_xt_iclass_srai_args[]
13d60 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c .=.{...{.{.OPERAND_arr.},.'o'.},
13d80 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ...{.{.OPERAND_art.},.'i'.},...{
13da0 20 7b 20 4f 50 45 52 41 4e 44 5f 73 61 72 67 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.OPERAND_sargt.},.'i'.}.};..st
13dc0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
13de0 78 74 5f 69 63 6c 61 73 73 5f 73 72 6c 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 xt_iclass_srli_args[].=.{...{.{.
13e00 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 OPERAND_arr.},.'o'.},...{.{.OPER
13e20 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f AND_art.},.'i'.},...{.{.OPERAND_
13e40 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 s.},.'i'.}.};..static.xtensa_arg
13e60 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 5f _internal.Iclass_xt_iclass_sync_
13e80 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 stateArgs[].=.{...{.{.STATE_XTSY
13ea0 4e 43 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NC.},.'i'.}.};..static.xtensa_ar
13ec0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c g_internal.Iclass_xt_iclass_rsil
13ee0 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
13f00 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 20 7d 2c 20 27 69 27 20 7d .'o'.},...{.{.OPERAND_s.},.'i'.}
13f20 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
13f40 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 5f 73 74 61 74 65 41 72 67 73 5b Iclass_xt_iclass_rsil_stateArgs[
13f60 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 69 27 20 7d ].=.{...{.{.STATE_PSWOE.},.'i'.}
13f80 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 69 27 20 7d ,...{.{.STATE_PSCALLINC.},.'i'.}
13fa0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ,...{.{.STATE_PSOWB.},.'i'.},...
13fc0 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSRING.},.'i'.},...{.{
13fe0 20 53 54 41 54 45 5f 50 53 55 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 .STATE_PSUM.},.'i'.},...{.{.STAT
14000 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
14020 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 SINTLEVEL.},.'m'.}.};..static.xt
14040 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
14060 73 73 5f 72 73 72 5f 6c 65 6e 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 ss_rsr_lend_args[].=.{...{.{.OPE
14080 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
140a0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
140c0 73 5f 72 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b s_rsr_lend_stateArgs[].=.{...{.{
140e0 20 53 54 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 .STATE_LEND.},.'i'.}.};..static.
14100 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
14120 6c 61 73 73 5f 77 73 72 5f 6c 65 6e 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f lass_wsr_lend_args[].=.{...{.{.O
14140 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
14160 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
14180 61 73 73 5f 77 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_wsr_lend_stateArgs[].=.{...{
141a0 20 7b 20 53 54 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 .{.STATE_LEND.},.'o'.}.};..stati
141c0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
141e0 69 63 6c 61 73 73 5f 78 73 72 5f 6c 65 6e 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b iclass_xsr_lend_args[].=.{...{.{
14200 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_art.},.'m'.}.};..static
14220 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
14240 63 6c 61 73 73 5f 78 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 class_xsr_lend_stateArgs[].=.{..
14260 20 7b 20 7b 20 53 54 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 .{.{.STATE_LEND.},.'m'.}.};..sta
14280 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
142a0 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 t_iclass_rsr_lcount_args[].=.{..
142c0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'o'.}.};..st
142e0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
14300 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d xt_iclass_rsr_lcount_stateArgs[]
14320 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 43 4f 55 4e 54 20 7d 2c 20 27 69 27 20 7d .=.{...{.{.STATE_LCOUNT.},.'i'.}
14340 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
14360 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 Iclass_xt_iclass_wsr_lcount_args
14380 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 [].=.{...{.{.OPERAND_art.},.'i'.
143a0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
143c0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 73 74 61 .Iclass_xt_iclass_wsr_lcount_sta
143e0 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 teArgs[].=.{...{.{.STATE_XTSYNC.
14400 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 43 4f 55 4e 54 20 7d 2c 20 },.'o'.},...{.{.STATE_LCOUNT.},.
14420 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'o'.}.};..static.xtensa_arg_inte
14440 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e 74 rnal.Iclass_xt_iclass_xsr_lcount
14460 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
14480 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
144a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e ernal.Iclass_xt_iclass_xsr_lcoun
144c0 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 t_stateArgs[].=.{...{.{.STATE_XT
144e0 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 43 4f 55 4e SYNC.},.'o'.},...{.{.STATE_LCOUN
14500 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 T.},.'m'.}.};..static.xtensa_arg
14520 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c _internal.Iclass_xt_iclass_rsr_l
14540 62 65 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 beg_args[].=.{...{.{.OPERAND_art
14560 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
14580 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 internal.Iclass_xt_iclass_rsr_lb
145a0 65 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c eg_stateArgs[].=.{...{.{.STATE_L
145c0 42 45 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 BEG.},.'i'.}.};..static.xtensa_a
145e0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rg_internal.Iclass_xt_iclass_wsr
14600 5f 6c 62 65 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 _lbeg_args[].=.{...{.{.OPERAND_a
14620 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'i'.}.};..static.xtensa_ar
14640 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
14660 6c 62 65 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 lbeg_stateArgs[].=.{...{.{.STATE
14680 5f 4c 42 45 47 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 _LBEG.},.'o'.}.};..static.xtensa
146a0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
146c0 73 72 5f 6c 62 65 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 sr_lbeg_args[].=.{...{.{.OPERAND
146e0 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'m'.}.};..static.xtensa_
14700 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
14720 72 5f 6c 62 65 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 r_lbeg_stateArgs[].=.{...{.{.STA
14740 54 45 5f 4c 42 45 47 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e TE_LBEG.},.'m'.}.};..static.xten
14760 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
14780 5f 72 73 72 5f 73 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e _rsr_sar_args[].=.{...{.{.OPERAN
147a0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
147c0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
147e0 73 72 5f 73 61 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 sr_sar_stateArgs[].=.{...{.{.STA
14800 54 45 5f 53 41 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 TE_SAR.},.'i'.}.};..static.xtens
14820 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
14840 77 73 72 5f 73 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 wsr_sar_args[].=.{...{.{.OPERAND
14860 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'i'.}.};..static.xtensa_
14880 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 arg_internal.Iclass_xt_iclass_ws
148a0 72 5f 73 61 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 r_sar_stateArgs[].=.{...{.{.STAT
148c0 45 5f 53 41 52 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 E_SAR.},.'o'.},...{.{.STATE_XTSY
148e0 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NC.},.'o'.}.};..static.xtensa_ar
14900 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
14920 73 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 sar_args[].=.{...{.{.OPERAND_art
14940 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'m'.}.};..static.xtensa_arg_
14960 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 internal.Iclass_xt_iclass_xsr_sa
14980 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 41 r_stateArgs[].=.{...{.{.STATE_SA
149a0 52 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 R.},.'m'.}.};..static.xtensa_arg
149c0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d _internal.Iclass_xt_iclass_rsr_m
149e0 65 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 emctl_args[].=.{...{.{.OPERAND_a
14a00 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'o'.}.};..static.xtensa_ar
14a20 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
14a40 6d 65 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f memctl_args[].=.{...{.{.OPERAND_
14a60 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'i'.}.};..static.xtensa_a
14a80 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rg_internal.Iclass_xt_iclass_xsr
14aa0 5f 6d 65 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 _memctl_args[].=.{...{.{.OPERAND
14ac0 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'m'.}.};..static.xtensa_
14ae0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
14b00 72 5f 6c 69 74 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 r_litbase_args[].=.{...{.{.OPERA
14b20 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'o'.}.};..static.xtens
14b40 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
14b60 77 73 72 5f 6c 69 74 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 wsr_litbase_args[].=.{...{.{.OPE
14b80 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'i'.}.};..static.xte
14ba0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
14bc0 73 5f 78 73 72 5f 6c 69 74 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f s_xsr_litbase_args[].=.{...{.{.O
14be0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'m'.}.};..static.x
14c00 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
14c20 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_rsr_configid0_args[].=.{...{
14c40 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.OPERAND_art.},.'o'.}.};..stat
14c60 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
14c80 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 73 74 61 74 65 41 72 67 73 5b _iclass_rsr_configid0_stateArgs[
14ca0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 ].=.{...{.{.STATE_PSEXCM.},.'i'.
14cc0 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d },...{.{.STATE_PSRING.},.'i'.}.}
14ce0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
14d00 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 61 72 67 lass_xt_iclass_wsr_configid0_arg
14d20 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
14d40 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
14d60 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 l.Iclass_xt_iclass_wsr_configid0
14d80 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 _stateArgs[].=.{...{.{.STATE_PSE
14da0 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 XCM.},.'i'.},...{.{.STATE_PSRING
14dc0 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
14de0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f internal.Iclass_xt_iclass_rsr_co
14e00 6e 66 69 67 69 64 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 nfigid1_args[].=.{...{.{.OPERAND
14e20 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'o'.}.};..static.xtensa_
14e40 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
14e60 72 5f 63 6f 6e 66 69 67 69 64 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 r_configid1_stateArgs[].=.{...{.
14e80 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
14ea0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 TATE_PSRING.},.'i'.}.};..static.
14ec0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
14ee0 6c 61 73 73 5f 72 73 72 5f 70 73 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 lass_rsr_ps_args[].=.{...{.{.OPE
14f00 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
14f20 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
14f40 73 5f 72 73 72 5f 70 73 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 s_rsr_ps_stateArgs[].=.{...{.{.S
14f60 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 TATE_PSWOE.},.'i'.},...{.{.STATE
14f80 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 _PSCALLINC.},.'i'.},...{.{.STATE
14fa0 5f 50 53 4f 57 42 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 _PSOWB.},.'i'.},...{.{.STATE_PSR
14fc0 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 55 4d 20 7d ING.},.'i'.},...{.{.STATE_PSUM.}
14fe0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSEXCM.},.'
15000 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 i'.},...{.{.STATE_PSINTLEVEL.},.
15020 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
15040 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 5f 61 72 67 rnal.Iclass_xt_iclass_wsr_ps_arg
15060 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
15080 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
150a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 5f 73 74 61 74 65 41 l.Iclass_xt_iclass_wsr_ps_stateA
150c0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 rgs[].=.{...{.{.STATE_PSWOE.},.'
150e0 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 o'.},...{.{.STATE_PSCALLINC.},.'
15100 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 6f 27 20 7d o'.},...{.{.STATE_PSOWB.},.'o'.}
15120 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 ,...{.{.STATE_PSRING.},.'m'.},..
15140 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 55 4d 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.{.STATE_PSUM.},.'o'.},...{.{.
15160 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'m'.},...{.{.STA
15180 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 TE_PSINTLEVEL.},.'o'.}.};..stati
151a0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
151c0 69 63 6c 61 73 73 5f 78 73 72 5f 70 73 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f iclass_xsr_ps_args[].=.{...{.{.O
151e0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'m'.}.};..static.x
15200 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
15220 61 73 73 5f 78 73 72 5f 70 73 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b ass_xsr_ps_stateArgs[].=.{...{.{
15240 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 .STATE_PSWOE.},.'m'.},...{.{.STA
15260 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 TE_PSCALLINC.},.'m'.},...{.{.STA
15280 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 TE_PSOWB.},.'m'.},...{.{.STATE_P
152a0 53 52 49 4e 47 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 55 4d SRING.},.'m'.},...{.{.STATE_PSUM
152c0 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c .},.'m'.},...{.{.STATE_PSEXCM.},
152e0 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d .'m'.},...{.{.STATE_PSINTLEVEL.}
15300 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'m'.}.};..static.xtensa_arg_in
15320 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 31 ternal.Iclass_xt_iclass_rsr_epc1
15340 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
15360 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'o'.}.};..static.xtensa_arg_int
15380 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 31 5f ernal.Iclass_xt_iclass_rsr_epc1_
153a0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
153c0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
153e0 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 31 20 7d 2c 20 27 69 },.'i'.},...{.{.STATE_EPC1.},.'i
15400 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
15420 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 31 5f 61 72 67 al.Iclass_xt_iclass_wsr_epc1_arg
15440 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
15460 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
15480 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 31 5f 73 74 61 74 l.Iclass_xt_iclass_wsr_epc1_stat
154a0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
154c0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
154e0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 31 20 7d 2c 20 27 6f 27 20 7d 0a i'.},...{.{.STATE_EPC1.},.'o'.}.
15500 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
15520 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 5f 61 72 67 73 5b 5d 20 class_xt_iclass_xsr_epc1_args[].
15540 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'m'.}.}
15560 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
15580 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_xsr_epc1_stateArg
155a0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
155c0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
155e0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPC1.},.'m'.}.};..
15600 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
15620 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f 61 72 67 73 5b 5d 20 s_xt_iclass_rsr_excsave1_args[].
15640 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'o'.}.}
15660 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
15680 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f 73 74 61 74 lass_xt_iclass_rsr_excsave1_stat
156a0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
156c0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
156e0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 31 20 7d 2c 20 27 69 i'.},...{.{.STATE_EXCSAVE1.},.'i
15700 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
15720 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 31 al.Iclass_xt_iclass_wsr_excsave1
15740 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
15760 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
15780 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 ernal.Iclass_xt_iclass_wsr_excsa
157a0 76 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ve1_stateArgs[].=.{...{.{.STATE_
157c0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
157e0 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 ING.},.'i'.},...{.{.STATE_EXCSAV
15800 45 31 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 E1.},.'o'.}.};..static.xtensa_ar
15820 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
15840 65 78 63 73 61 76 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e excsave1_args[].=.{...{.{.OPERAN
15860 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'m'.}.};..static.xtensa
15880 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
158a0 73 72 5f 65 78 63 73 61 76 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 sr_excsave1_stateArgs[].=.{...{.
158c0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
158e0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
15900 45 5f 45 58 43 53 41 56 45 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 E_EXCSAVE1.},.'m'.}.};..static.x
15920 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
15940 61 73 73 5f 72 73 72 5f 65 70 63 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ass_rsr_epc2_args[].=.{...{.{.OP
15960 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'o'.}.};..static.xt
15980 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
159a0 73 73 5f 72 73 72 5f 65 70 63 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_rsr_epc2_stateArgs[].=.{...{.
159c0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
159e0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
15a00 45 5f 45 50 43 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 E_EPC2.},.'i'.}.};..static.xtens
15a20 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
15a40 77 73 72 5f 65 70 63 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e wsr_epc2_args[].=.{...{.{.OPERAN
15a60 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'i'.}.};..static.xtensa
15a80 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _arg_internal.Iclass_xt_iclass_w
15aa0 73 72 5f 65 70 63 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_epc2_stateArgs[].=.{...{.{.ST
15ac0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
15ae0 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
15b00 43 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 C2.},.'o'.}.};..static.xtensa_ar
15b20 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
15b40 65 70 63 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 epc2_args[].=.{...{.{.OPERAND_ar
15b60 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'m'.}.};..static.xtensa_arg
15b80 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 _internal.Iclass_xt_iclass_xsr_e
15ba0 70 63 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f pc2_stateArgs[].=.{...{.{.STATE_
15bc0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
15be0 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 32 20 7d ING.},.'i'.},...{.{.STATE_EPC2.}
15c00 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'m'.}.};..static.xtensa_arg_in
15c20 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 ternal.Iclass_xt_iclass_rsr_excs
15c40 61 76 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ave2_args[].=.{...{.{.OPERAND_ar
15c60 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
15c80 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 _internal.Iclass_xt_iclass_rsr_e
15ca0 78 63 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 xcsave2_stateArgs[].=.{...{.{.ST
15cc0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
15ce0 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 _PSRING.},.'i'.},...{.{.STATE_EX
15d00 43 53 41 56 45 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 CSAVE2.},.'i'.}.};..static.xtens
15d20 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
15d40 77 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 wsr_excsave2_args[].=.{...{.{.OP
15d60 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
15d80 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
15da0 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a ss_wsr_excsave2_stateArgs[].=.{.
15dc0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
15de0 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
15e00 53 54 41 54 45 5f 45 58 43 53 41 56 45 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_EXCSAVE2.},.'o'.}.};..stat
15e20 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
15e40 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a _iclass_xsr_excsave2_args[].=.{.
15e60 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'m'.}.};..s
15e80 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
15ea0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 _xt_iclass_xsr_excsave2_stateArg
15ec0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
15ee0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
15f00 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 32 20 7d 2c 20 27 6d 27 20 7d 0a ,...{.{.STATE_EXCSAVE2.},.'m'.}.
15f20 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
15f40 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 33 5f 61 72 67 73 5b 5d 20 class_xt_iclass_rsr_epc3_args[].
15f60 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'o'.}.}
15f80 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
15fa0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 33 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_rsr_epc3_stateArg
15fc0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
15fe0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
16000 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 33 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPC3.},.'i'.}.};..
16020 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
16040 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_wsr_epc3_args[].=.{.
16060 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
16080 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
160a0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_wsr_epc3_stateArgs[].
160c0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
160e0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
16100 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 33 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPC3.},.'o'.}.};..stat
16120 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
16140 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_xsr_epc3_args[].=.{...{.
16160 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'m'.}.};..stati
16180 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
161a0 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_xsr_epc3_stateArgs[].=.{.
161c0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
161e0 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
16200 53 54 41 54 45 5f 45 50 43 33 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPC3.},.'m'.}.};..static.x
16220 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
16240 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_rsr_excsave3_args[].=.{...{.
16260 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'o'.}.};..stati
16280 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
162a0 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_rsr_excsave3_stateArgs[].
162c0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
162e0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
16300 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 33 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a {.{.STATE_EXCSAVE3.},.'i'.}.};..
16320 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
16340 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 5b 5d 20 s_xt_iclass_wsr_excsave3_args[].
16360 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
16380 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
163a0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 5f 73 74 61 74 lass_xt_iclass_wsr_excsave3_stat
163c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
163e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
16400 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 33 20 7d 2c 20 27 6f i'.},...{.{.STATE_EXCSAVE3.},.'o
16420 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
16440 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 33 al.Iclass_xt_iclass_xsr_excsave3
16460 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
16480 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
164a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 ernal.Iclass_xt_iclass_xsr_excsa
164c0 76 65 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ve3_stateArgs[].=.{...{.{.STATE_
164e0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
16500 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 ING.},.'i'.},...{.{.STATE_EXCSAV
16520 45 33 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 E3.},.'m'.}.};..static.xtensa_ar
16540 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
16560 65 70 63 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 epc4_args[].=.{...{.{.OPERAND_ar
16580 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
165a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 _internal.Iclass_xt_iclass_rsr_e
165c0 70 63 34 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f pc4_stateArgs[].=.{...{.{.STATE_
165e0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
16600 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 34 20 7d ING.},.'i'.},...{.{.STATE_EPC4.}
16620 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
16640 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 34 ternal.Iclass_xt_iclass_wsr_epc4
16660 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
16680 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
166a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 34 5f ernal.Iclass_xt_iclass_wsr_epc4_
166c0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
166e0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
16700 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 34 20 7d 2c 20 27 6f },.'i'.},...{.{.STATE_EPC4.},.'o
16720 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
16740 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 34 5f 61 72 67 al.Iclass_xt_iclass_xsr_epc4_arg
16760 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 s[].=.{...{.{.OPERAND_art.},.'m'
16780 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
167a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 34 5f 73 74 61 74 l.Iclass_xt_iclass_xsr_epc4_stat
167c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
167e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
16800 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 34 20 7d 2c 20 27 6d 27 20 7d 0a i'.},...{.{.STATE_EPC4.},.'m'.}.
16820 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
16840 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 class_xt_iclass_rsr_excsave4_arg
16860 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
16880 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
168a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f l.Iclass_xt_iclass_rsr_excsave4_
168c0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
168e0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
16900 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 34 20 7d },.'i'.},...{.{.STATE_EXCSAVE4.}
16920 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
16940 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 ternal.Iclass_xt_iclass_wsr_excs
16960 61 76 65 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ave4_args[].=.{...{.{.OPERAND_ar
16980 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
169a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 _internal.Iclass_xt_iclass_wsr_e
169c0 78 63 73 61 76 65 34 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 xcsave4_stateArgs[].=.{...{.{.ST
169e0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
16a00 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 _PSRING.},.'i'.},...{.{.STATE_EX
16a20 43 53 41 56 45 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 CSAVE4.},.'o'.}.};..static.xtens
16a40 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
16a60 78 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 xsr_excsave4_args[].=.{...{.{.OP
16a80 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'m'.}.};..static.xt
16aa0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
16ac0 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a ss_xsr_excsave4_stateArgs[].=.{.
16ae0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
16b00 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
16b20 53 54 41 54 45 5f 45 58 43 53 41 56 45 34 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_EXCSAVE4.},.'m'.}.};..stat
16b40 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
16b60 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_rsr_epc5_args[].=.{...{.
16b80 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'o'.}.};..stati
16ba0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
16bc0 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_rsr_epc5_stateArgs[].=.{.
16be0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
16c00 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
16c20 53 54 41 54 45 5f 45 50 43 35 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPC5.},.'i'.}.};..static.x
16c40 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
16c60 61 73 73 5f 77 73 72 5f 65 70 63 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ass_wsr_epc5_args[].=.{...{.{.OP
16c80 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
16ca0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
16cc0 73 73 5f 77 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_wsr_epc5_stateArgs[].=.{...{.
16ce0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
16d00 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
16d20 45 5f 45 50 43 35 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 E_EPC5.},.'o'.}.};..static.xtens
16d40 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
16d60 78 73 72 5f 65 70 63 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e xsr_epc5_args[].=.{...{.{.OPERAN
16d80 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'m'.}.};..static.xtensa
16da0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
16dc0 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_epc5_stateArgs[].=.{...{.{.ST
16de0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
16e00 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
16e20 43 35 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 C5.},.'m'.}.};..static.xtensa_ar
16e40 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
16e60 65 78 63 73 61 76 65 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e excsave5_args[].=.{...{.{.OPERAN
16e80 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
16ea0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
16ec0 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 sr_excsave5_stateArgs[].=.{...{.
16ee0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
16f00 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
16f20 45 5f 45 58 43 53 41 56 45 35 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 E_EXCSAVE5.},.'i'.}.};..static.x
16f40 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
16f60 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_wsr_excsave5_args[].=.{...{.
16f80 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
16fa0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
16fc0 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_wsr_excsave5_stateArgs[].
16fe0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
17000 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
17020 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 35 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a {.{.STATE_EXCSAVE5.},.'o'.}.};..
17040 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
17060 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 61 72 67 73 5b 5d 20 s_xt_iclass_xsr_excsave5_args[].
17080 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'m'.}.}
170a0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
170c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 lass_xt_iclass_xsr_excsave5_stat
170e0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
17100 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
17120 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 35 20 7d 2c 20 27 6d i'.},...{.{.STATE_EXCSAVE5.},.'m
17140 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
17160 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 36 5f 61 72 67 al.Iclass_xt_iclass_rsr_epc6_arg
17180 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
171a0 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
171c0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 36 5f 73 74 61 74 l.Iclass_xt_iclass_rsr_epc6_stat
171e0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
17200 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
17220 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 36 20 7d 2c 20 27 69 27 20 7d 0a i'.},...{.{.STATE_EPC6.},.'i'.}.
17240 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
17260 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 36 5f 61 72 67 73 5b 5d 20 class_xt_iclass_wsr_epc6_args[].
17280 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
172a0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
172c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_wsr_epc6_stateArg
172e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
17300 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
17320 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 36 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPC6.},.'o'.}.};..
17340 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
17360 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_xsr_epc6_args[].=.{.
17380 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'m'.}.};..s
173a0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
173c0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_xsr_epc6_stateArgs[].
173e0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
17400 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
17420 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 36 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPC6.},.'m'.}.};..stat
17440 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
17460 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a _iclass_rsr_excsave6_args[].=.{.
17480 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'o'.}.};..s
174a0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
174c0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 _xt_iclass_rsr_excsave6_stateArg
174e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
17500 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
17520 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 36 20 7d 2c 20 27 69 27 20 7d 0a ,...{.{.STATE_EXCSAVE6.},.'i'.}.
17540 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
17560 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 36 5f 61 72 67 class_xt_iclass_wsr_excsave6_arg
17580 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
175a0 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
175c0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 36 5f l.Iclass_xt_iclass_wsr_excsave6_
175e0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
17600 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
17620 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 36 20 7d },.'i'.},...{.{.STATE_EXCSAVE6.}
17640 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
17660 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 ternal.Iclass_xt_iclass_xsr_excs
17680 61 76 65 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ave6_args[].=.{...{.{.OPERAND_ar
176a0 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'m'.}.};..static.xtensa_arg
176c0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 _internal.Iclass_xt_iclass_xsr_e
176e0 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 xcsave6_stateArgs[].=.{...{.{.ST
17700 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
17720 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 _PSRING.},.'i'.},...{.{.STATE_EX
17740 43 53 41 56 45 36 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 CSAVE6.},.'m'.}.};..static.xtens
17760 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
17780 72 73 72 5f 65 70 63 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e rsr_epc7_args[].=.{...{.{.OPERAN
177a0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
177c0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
177e0 73 72 5f 65 70 63 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_epc7_stateArgs[].=.{...{.{.ST
17800 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
17820 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
17840 43 37 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 C7.},.'i'.}.};..static.xtensa_ar
17860 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
17880 65 70 63 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 epc7_args[].=.{...{.{.OPERAND_ar
178a0 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
178c0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 _internal.Iclass_xt_iclass_wsr_e
178e0 70 63 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f pc7_stateArgs[].=.{...{.{.STATE_
17900 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
17920 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 37 20 7d ING.},.'i'.},...{.{.STATE_EPC7.}
17940 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
17960 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 ternal.Iclass_xt_iclass_xsr_epc7
17980 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
179a0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
179c0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 5f ernal.Iclass_xt_iclass_xsr_epc7_
179e0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
17a00 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
17a20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 37 20 7d 2c 20 27 6d },.'i'.},...{.{.STATE_EPC7.},.'m
17a40 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
17a60 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 37 al.Iclass_xt_iclass_rsr_excsave7
17a80 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
17aa0 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'o'.}.};..static.xtensa_arg_int
17ac0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 ernal.Iclass_xt_iclass_rsr_excsa
17ae0 76 65 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ve7_stateArgs[].=.{...{.{.STATE_
17b00 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
17b20 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 ING.},.'i'.},...{.{.STATE_EXCSAV
17b40 45 37 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 E7.},.'i'.}.};..static.xtensa_ar
17b60 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
17b80 65 78 63 73 61 76 65 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e excsave7_args[].=.{...{.{.OPERAN
17ba0 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'i'.}.};..static.xtensa
17bc0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _arg_internal.Iclass_xt_iclass_w
17be0 73 72 5f 65 78 63 73 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 sr_excsave7_stateArgs[].=.{...{.
17c00 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
17c20 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
17c40 45 5f 45 58 43 53 41 56 45 37 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 E_EXCSAVE7.},.'o'.}.};..static.x
17c60 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
17c80 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_xsr_excsave7_args[].=.{...{.
17ca0 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'m'.}.};..stati
17cc0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
17ce0 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_xsr_excsave7_stateArgs[].
17d00 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
17d20 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
17d40 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 37 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a {.{.STATE_EXCSAVE7.},.'m'.}.};..
17d60 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
17d80 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_rsr_eps2_args[].=.{.
17da0 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'o'.}.};..s
17dc0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
17de0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_rsr_eps2_stateArgs[].
17e00 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
17e20 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
17e40 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPS2.},.'i'.}.};..stat
17e60 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
17e80 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_wsr_eps2_args[].=.{...{.
17ea0 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
17ec0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
17ee0 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_wsr_eps2_stateArgs[].=.{.
17f00 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
17f20 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
17f40 53 54 41 54 45 5f 45 50 53 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPS2.},.'o'.}.};..static.x
17f60 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
17f80 61 73 73 5f 78 73 72 5f 65 70 73 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ass_xsr_eps2_args[].=.{...{.{.OP
17fa0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'m'.}.};..static.xt
17fc0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
17fe0 73 73 5f 78 73 72 5f 65 70 73 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_xsr_eps2_stateArgs[].=.{...{.
18000 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
18020 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
18040 45 5f 45 50 53 32 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 E_EPS2.},.'m'.}.};..static.xtens
18060 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
18080 72 73 72 5f 65 70 73 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e rsr_eps3_args[].=.{...{.{.OPERAN
180a0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
180c0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
180e0 73 72 5f 65 70 73 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_eps3_stateArgs[].=.{...{.{.ST
18100 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
18120 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
18140 53 33 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 S3.},.'i'.}.};..static.xtensa_ar
18160 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
18180 65 70 73 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 eps3_args[].=.{...{.{.OPERAND_ar
181a0 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
181c0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 _internal.Iclass_xt_iclass_wsr_e
181e0 70 73 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ps3_stateArgs[].=.{...{.{.STATE_
18200 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
18220 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 33 20 7d ING.},.'i'.},...{.{.STATE_EPS3.}
18240 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
18260 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 33 ternal.Iclass_xt_iclass_xsr_eps3
18280 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
182a0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
182c0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 33 5f ernal.Iclass_xt_iclass_xsr_eps3_
182e0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
18300 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
18320 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 33 20 7d 2c 20 27 6d },.'i'.},...{.{.STATE_EPS3.},.'m
18340 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
18360 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 34 5f 61 72 67 al.Iclass_xt_iclass_rsr_eps4_arg
18380 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
183a0 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
183c0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 34 5f 73 74 61 74 l.Iclass_xt_iclass_rsr_eps4_stat
183e0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
18400 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
18420 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 34 20 7d 2c 20 27 69 27 20 7d 0a i'.},...{.{.STATE_EPS4.},.'i'.}.
18440 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
18460 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 34 5f 61 72 67 73 5b 5d 20 class_xt_iclass_wsr_eps4_args[].
18480 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
184a0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
184c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 34 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_wsr_eps4_stateArg
184e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
18500 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
18520 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPS4.},.'o'.}.};..
18540 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
18560 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_xsr_eps4_args[].=.{.
18580 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'m'.}.};..s
185a0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
185c0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_xsr_eps4_stateArgs[].
185e0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
18600 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
18620 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 34 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPS4.},.'m'.}.};..stat
18640 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
18660 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_rsr_eps5_args[].=.{...{.
18680 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'o'.}.};..stati
186a0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
186c0 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_rsr_eps5_stateArgs[].=.{.
186e0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
18700 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
18720 53 54 41 54 45 5f 45 50 53 35 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPS5.},.'i'.}.};..static.x
18740 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
18760 61 73 73 5f 77 73 72 5f 65 70 73 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ass_wsr_eps5_args[].=.{...{.{.OP
18780 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
187a0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
187c0 73 73 5f 77 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_wsr_eps5_stateArgs[].=.{...{.
187e0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
18800 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
18820 45 5f 45 50 53 35 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 E_EPS5.},.'o'.}.};..static.xtens
18840 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
18860 78 73 72 5f 65 70 73 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e xsr_eps5_args[].=.{...{.{.OPERAN
18880 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'m'.}.};..static.xtensa
188a0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
188c0 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_eps5_stateArgs[].=.{...{.{.ST
188e0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
18900 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
18920 53 35 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 S5.},.'m'.}.};..static.xtensa_ar
18940 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
18960 65 70 73 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 eps6_args[].=.{...{.{.OPERAND_ar
18980 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
189a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 _internal.Iclass_xt_iclass_rsr_e
189c0 70 73 36 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ps6_stateArgs[].=.{...{.{.STATE_
189e0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
18a00 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 36 20 7d ING.},.'i'.},...{.{.STATE_EPS6.}
18a20 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
18a40 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 ternal.Iclass_xt_iclass_wsr_eps6
18a60 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
18a80 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
18aa0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 5f ernal.Iclass_xt_iclass_wsr_eps6_
18ac0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
18ae0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
18b00 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 36 20 7d 2c 20 27 6f },.'i'.},...{.{.STATE_EPS6.},.'o
18b20 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
18b40 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 5f 61 72 67 al.Iclass_xt_iclass_xsr_eps6_arg
18b60 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 s[].=.{...{.{.OPERAND_art.},.'m'
18b80 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
18ba0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 5f 73 74 61 74 l.Iclass_xt_iclass_xsr_eps6_stat
18bc0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
18be0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
18c00 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 36 20 7d 2c 20 27 6d 27 20 7d 0a i'.},...{.{.STATE_EPS6.},.'m'.}.
18c20 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
18c40 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 5f 61 72 67 73 5b 5d 20 class_xt_iclass_rsr_eps7_args[].
18c60 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'o'.}.}
18c80 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
18ca0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_rsr_eps7_stateArg
18cc0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
18ce0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
18d00 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 37 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPS7.},.'i'.}.};..
18d20 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
18d40 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_wsr_eps7_args[].=.{.
18d60 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
18d80 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
18da0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_wsr_eps7_stateArgs[].
18dc0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
18de0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
18e00 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 37 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPS7.},.'o'.}.};..stat
18e20 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
18e40 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_xsr_eps7_args[].=.{...{.
18e60 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'m'.}.};..stati
18e80 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
18ea0 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_xsr_eps7_stateArgs[].=.{.
18ec0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
18ee0 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
18f00 53 54 41 54 45 5f 45 50 53 37 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPS7.},.'m'.}.};..static.x
18f20 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
18f40 61 73 73 5f 72 73 72 5f 65 78 63 76 61 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_rsr_excvaddr_args[].=.{...{.
18f60 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'o'.}.};..stati
18f80 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
18fa0 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_rsr_excvaddr_stateArgs[].
18fc0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
18fe0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
19000 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a {.{.STATE_EXCVADDR.},.'i'.}.};..
19020 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
19040 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 76 61 64 64 72 5f 61 72 67 73 5b 5d 20 s_xt_iclass_wsr_excvaddr_args[].
19060 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
19080 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
190a0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 lass_xt_iclass_wsr_excvaddr_stat
190c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
190e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
19100 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 6f i'.},...{.{.STATE_EXCVADDR.},.'o
19120 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
19140 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 76 61 64 64 72 al.Iclass_xt_iclass_xsr_excvaddr
19160 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
19180 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
191a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 76 61 ernal.Iclass_xt_iclass_xsr_excva
191c0 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ddr_stateArgs[].=.{...{.{.STATE_
191e0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
19200 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 ING.},.'i'.},...{.{.STATE_EXCVAD
19220 44 52 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 DR.},.'m'.}.};..static.xtensa_ar
19240 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
19260 64 65 70 63 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 depc_args[].=.{...{.{.OPERAND_ar
19280 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
192a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 _internal.Iclass_xt_iclass_rsr_d
192c0 65 70 63 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f epc_stateArgs[].=.{...{.{.STATE_
192e0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
19300 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 50 43 20 7d ING.},.'i'.},...{.{.STATE_DEPC.}
19320 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
19340 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 70 63 ternal.Iclass_xt_iclass_wsr_depc
19360 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
19380 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
193a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 70 63 5f ernal.Iclass_xt_iclass_wsr_depc_
193c0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
193e0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
19400 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 50 43 20 7d 2c 20 27 6f },.'i'.},...{.{.STATE_DEPC.},.'o
19420 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
19440 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 70 63 5f 61 72 67 al.Iclass_xt_iclass_xsr_depc_arg
19460 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 s[].=.{...{.{.OPERAND_art.},.'m'
19480 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
194a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 70 63 5f 73 74 61 74 l.Iclass_xt_iclass_xsr_depc_stat
194c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
194e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
19500 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 50 43 20 7d 2c 20 27 6d 27 20 7d 0a i'.},...{.{.STATE_DEPC.},.'m'.}.
19520 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
19540 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 63 61 75 73 65 5f 61 72 67 class_xt_iclass_rsr_exccause_arg
19560 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
19580 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
195a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 63 61 75 73 65 5f l.Iclass_xt_iclass_rsr_exccause_
195c0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
195e0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
19600 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 43 41 55 53 45 20 7d },.'i'.},...{.{.STATE_EXCCAUSE.}
19620 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_XTSYNC.},.'
19640 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
19660 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 63 61 75 73 nal.Iclass_xt_iclass_wsr_exccaus
19680 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d e_args[].=.{...{.{.OPERAND_art.}
196a0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
196c0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 63 ternal.Iclass_xt_iclass_wsr_excc
196e0 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 ause_stateArgs[].=.{...{.{.STATE
19700 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
19720 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 43 41 RING.},.'i'.},...{.{.STATE_EXCCA
19740 55 53 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 USE.},.'o'.}.};..static.xtensa_a
19760 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rg_internal.Iclass_xt_iclass_xsr
19780 5f 65 78 63 63 61 75 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 _exccause_args[].=.{...{.{.OPERA
197a0 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'m'.}.};..static.xtens
197c0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
197e0 78 73 72 5f 65 78 63 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b xsr_exccause_stateArgs[].=.{...{
19800 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSEXCM.},.'i'.},...{.{.
19820 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSRING.},.'i'.},...{.{.STA
19840 54 45 5f 45 58 43 43 41 55 53 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 TE_EXCCAUSE.},.'m'.}.};..static.
19860 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
19880 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 lass_rsr_misc0_args[].=.{...{.{.
198a0 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 OPERAND_art.},.'o'.}.};..static.
198c0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
198e0 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 lass_rsr_misc0_stateArgs[].=.{..
19900 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSEXCM.},.'i'.},...{.
19920 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSRING.},.'i'.},...{.{.S
19940 54 41 54 45 5f 4d 49 53 43 30 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 TATE_MISC0.},.'i'.}.};..static.x
19960 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
19980 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f ass_wsr_misc0_args[].=.{...{.{.O
199a0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
199c0 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
199e0 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 ass_wsr_misc0_stateArgs[].=.{...
19a00 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
19a20 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
19a40 41 54 45 5f 4d 49 53 43 30 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ATE_MISC0.},.'o'.}.};..static.xt
19a60 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
19a80 73 73 5f 78 73 72 5f 6d 69 73 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ss_xsr_misc0_args[].=.{...{.{.OP
19aa0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'m'.}.};..static.xt
19ac0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
19ae0 73 73 5f 78 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ss_xsr_misc0_stateArgs[].=.{...{
19b00 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSEXCM.},.'i'.},...{.{.
19b20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSRING.},.'i'.},...{.{.STA
19b40 54 45 5f 4d 49 53 43 30 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 TE_MISC0.},.'m'.}.};..static.xte
19b60 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
19b80 73 5f 72 73 72 5f 6d 69 73 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 s_rsr_misc1_args[].=.{...{.{.OPE
19ba0 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
19bc0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
19be0 73 5f 72 73 72 5f 6d 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 s_rsr_misc1_stateArgs[].=.{...{.
19c00 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
19c20 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
19c40 45 5f 4d 49 53 43 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e E_MISC1.},.'i'.}.};..static.xten
19c60 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
19c80 5f 77 73 72 5f 6d 69 73 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 _wsr_misc1_args[].=.{...{.{.OPER
19ca0 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e AND_art.},.'i'.}.};..static.xten
19cc0 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
19ce0 5f 77 73 72 5f 6d 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b _wsr_misc1_stateArgs[].=.{...{.{
19d00 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSEXCM.},.'i'.},...{.{.ST
19d20 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSRING.},.'i'.},...{.{.STATE
19d40 5f 4d 49 53 43 31 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 _MISC1.},.'o'.}.};..static.xtens
19d60 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
19d80 78 73 72 5f 6d 69 73 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 xsr_misc1_args[].=.{...{.{.OPERA
19da0 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'m'.}.};..static.xtens
19dc0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
19de0 78 73 72 5f 6d 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 xsr_misc1_stateArgs[].=.{...{.{.
19e00 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
19e20 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
19e40 4d 49 53 43 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 MISC1.},.'m'.}.};..static.xtensa
19e60 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
19e80 73 72 5f 70 72 69 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 sr_prid_args[].=.{...{.{.OPERAND
19ea0 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'o'.}.};..static.xtensa_
19ec0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
19ee0 72 5f 70 72 69 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 r_prid_stateArgs[].=.{...{.{.STA
19f00 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
19f20 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 PSRING.},.'i'.}.};..static.xtens
19f40 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
19f60 72 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 rsr_vecbase_args[].=.{...{.{.OPE
19f80 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
19fa0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
19fc0 73 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 s_rsr_vecbase_stateArgs[].=.{...
19fe0 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
1a000 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
1a020 41 54 45 5f 56 45 43 42 41 53 45 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 ATE_VECBASE.},.'i'.}.};..static.
1a040 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
1a060 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 lass_wsr_vecbase_args[].=.{...{.
1a080 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
1a0a0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
1a0c0 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d iclass_wsr_vecbase_stateArgs[].=
1a0e0 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a .{...{.{.STATE_PSEXCM.},.'i'.},.
1a100 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSRING.},.'i'.},...{
1a120 20 7b 20 53 54 41 54 45 5f 56 45 43 42 41 53 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.STATE_VECBASE.},.'o'.}.};..st
1a140 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
1a160 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b xt_iclass_xsr_vecbase_args[].=.{
1a180 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'m'.}.};..
1a1a0 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
1a1c0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 73 74 61 74 65 41 72 67 s_xt_iclass_xsr_vecbase_stateArg
1a1e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
1a200 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
1a220 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 56 45 43 42 41 53 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d ,...{.{.STATE_VECBASE.},.'m'.}.}
1a240 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
1a260 6c 61 73 73 5f 78 74 5f 6d 75 6c 31 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f lass_xt_mul16_args[].=.{...{.{.O
1a280 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_arr.},.'o'.},...{.{.OPERA
1a2a0 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 ND_ars.},.'i'.},...{.{.OPERAND_a
1a2c0 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'i'.}.};..static.xtensa_ar
1a2e0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 6d 75 6c 33 32 5f 61 72 67 73 5b g_internal.Iclass_xt_mul32_args[
1a300 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_arr.},.'o'.}
1a320 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ,...{.{.OPERAND_ars.},.'i'.},...
1a340 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_art.},.'i'.}.};..sta
1a360 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
1a380 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b t_iclass_mac16_aa_args[].=.{...{
1a3a0 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
1a3c0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
1a3e0 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
1a400 61 73 73 5f 6d 61 63 31 36 5f 61 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_mac16_aa_stateArgs[].=.{...{
1a420 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .{.STATE_ACC.},.'o'.}.};..static
1a440 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
1a460 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 class_mac16_ad_args[].=.{...{.{.
1a480 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 OPERAND_ars.},.'i'.},...{.{.OPER
1a4a0 41 4e 44 5f 6d 79 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 AND_my.},.'i'.}.};..static.xtens
1a4c0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
1a4e0 6d 61 63 31 36 5f 61 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 mac16_ad_stateArgs[].=.{...{.{.S
1a500 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 TATE_ACC.},.'o'.}.};..static.xte
1a520 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
1a540 73 5f 6d 61 63 31 36 5f 64 61 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 s_mac16_da_args[].=.{...{.{.OPER
1a560 41 4e 44 5f 6d 78 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 AND_mx.},.'i'.},...{.{.OPERAND_a
1a580 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'i'.}.};..static.xtensa_ar
1a5a0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 g_internal.Iclass_xt_iclass_mac1
1a5c0 36 5f 64 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 6_da_stateArgs[].=.{...{.{.STATE
1a5e0 5f 41 43 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _ACC.},.'o'.}.};..static.xtensa_
1a600 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 arg_internal.Iclass_xt_iclass_ma
1a620 63 31 36 5f 64 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f c16_dd_args[].=.{...{.{.OPERAND_
1a640 6d 78 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 79 20 7d 2c mx.},.'i'.},...{.{.OPERAND_my.},
1a660 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
1a680 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 64 5f ernal.Iclass_xt_iclass_mac16_dd_
1a6a0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 stateArgs[].=.{...{.{.STATE_ACC.
1a6c0 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'o'.}.};..static.xtensa_arg_i
1a6e0 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f nternal.Iclass_xt_iclass_mac16a_
1a700 61 61 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 aa_args[].=.{...{.{.OPERAND_ars.
1a720 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 },.'i'.},...{.{.OPERAND_art.},.'
1a740 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
1a760 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 5f 73 nal.Iclass_xt_iclass_mac16a_aa_s
1a780 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d tateArgs[].=.{...{.{.STATE_ACC.}
1a7a0 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'m'.}.};..static.xtensa_arg_in
1a7c0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 ternal.Iclass_xt_iclass_mac16a_a
1a7e0 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d d_args[].=.{...{.{.OPERAND_ars.}
1a800 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 79 20 7d 2c 20 27 69 27 ,.'i'.},...{.{.OPERAND_my.},.'i'
1a820 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
1a840 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 5f 73 74 61 l.Iclass_xt_iclass_mac16a_ad_sta
1a860 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 teArgs[].=.{...{.{.STATE_ACC.},.
1a880 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'm'.}.};..static.xtensa_arg_inte
1a8a0 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 61 5f rnal.Iclass_xt_iclass_mac16a_da_
1a8c0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 78 20 7d 2c 20 27 args[].=.{...{.{.OPERAND_mx.},.'
1a8e0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d i'.},...{.{.OPERAND_art.},.'i'.}
1a900 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
1a920 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 61 5f 73 74 61 74 65 Iclass_xt_iclass_mac16a_da_state
1a940 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d Args[].=.{...{.{.STATE_ACC.},.'m
1a960 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
1a980 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 5f 61 72 al.Iclass_xt_iclass_mac16a_dd_ar
1a9a0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 78 20 7d 2c 20 27 69 27 gs[].=.{...{.{.OPERAND_mx.},.'i'
1a9c0 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 79 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b .},...{.{.OPERAND_my.},.'i'.}.};
1a9e0 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
1aa00 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 5f 73 74 61 74 65 41 72 67 ass_xt_iclass_mac16a_dd_stateArg
1aa20 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d s[].=.{...{.{.STATE_ACC.},.'m'.}
1aa40 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
1aa60 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 5f 61 72 67 73 Iclass_xt_iclass_mac16al_da_args
1aa80 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 77 20 7d 2c 20 27 6f 27 20 7d [].=.{...{.{.OPERAND_mw.},.'o'.}
1aaa0 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 ,...{.{.OPERAND_ars.},.'m'.},...
1aac0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 78 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f {.{.OPERAND_mx.},.'i'.},...{.{.O
1aae0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
1ab00 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
1ab20 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 ass_mac16al_da_stateArgs[].=.{..
1ab40 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.{.STATE_ACC.},.'m'.}.};..stat
1ab60 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
1ab80 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 _iclass_mac16al_dd_args[].=.{...
1aba0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 77 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f {.{.OPERAND_mw.},.'o'.},...{.{.O
1abc0 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_ars.},.'m'.},...{.{.OPERA
1abe0 4e 44 5f 6d 78 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 79 ND_mx.},.'i'.},...{.{.OPERAND_my
1ac00 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
1ac20 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 internal.Iclass_xt_iclass_mac16a
1ac40 6c 5f 64 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 l_dd_stateArgs[].=.{...{.{.STATE
1ac60 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _ACC.},.'m'.}.};..static.xtensa_
1ac80 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 arg_internal.Iclass_xt_iclass_ma
1aca0 63 31 36 5f 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d c16_l_args[].=.{...{.{.OPERAND_m
1acc0 77 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c w.},.'o'.},...{.{.OPERAND_ars.},
1ace0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
1ad00 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 30 5f 61 72 ernal.Iclass_xt_iclass_rsr_m0_ar
1ad20 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f gs[].=.{...{.{.OPERAND_art.},.'o
1ad40 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 30 20 7d 2c 20 27 69 27 20 7d 0a '.},...{.{.OPERAND_mr0.},.'i'.}.
1ad60 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
1ad80 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 30 5f 61 72 67 73 5b 5d 20 3d 20 class_xt_iclass_wsr_m0_args[].=.
1ada0 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 {...{.{.OPERAND_art.},.'i'.},...
1adc0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 30 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_mr0.},.'o'.}.};..sta
1ade0 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
1ae00 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b t_iclass_xsr_m0_args[].=.{...{.{
1ae20 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 .OPERAND_art.},.'m'.},...{.{.OPE
1ae40 52 41 4e 44 5f 6d 72 30 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_mr0.},.'m'.}.};..static.xte
1ae60 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
1ae80 73 5f 72 73 72 5f 6d 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e s_rsr_m1_args[].=.{...{.{.OPERAN
1aea0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 D_art.},.'o'.},...{.{.OPERAND_mr
1aec0 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 1.},.'i'.}.};..static.xtensa_arg
1aee0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d _internal.Iclass_xt_iclass_wsr_m
1af00 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 1_args[].=.{...{.{.OPERAND_art.}
1af20 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 31 20 7d 2c 20 27 6f ,.'i'.},...{.{.OPERAND_mr1.},.'o
1af40 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
1af60 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 31 5f 61 72 67 73 5b al.Iclass_xt_iclass_xsr_m1_args[
1af80 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d ].=.{...{.{.OPERAND_art.},.'m'.}
1afa0 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a ,...{.{.OPERAND_mr1.},.'m'.}.};.
1afc0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
1afe0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 ss_xt_iclass_rsr_m2_args[].=.{..
1b000 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b .{.{.OPERAND_art.},.'o'.},...{.{
1b020 20 4f 50 45 52 41 4e 44 5f 6d 72 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_mr2.},.'i'.}.};..static
1b040 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
1b060 63 6c 61 73 73 5f 77 73 72 5f 6d 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 class_wsr_m2_args[].=.{...{.{.OP
1b080 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e ERAND_art.},.'i'.},...{.{.OPERAN
1b0a0 44 5f 6d 72 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_mr2.},.'o'.}.};..static.xtensa
1b0c0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
1b0e0 73 72 5f 6d 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 sr_m2_args[].=.{...{.{.OPERAND_a
1b100 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 32 20 7d rt.},.'m'.},...{.{.OPERAND_mr2.}
1b120 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'m'.}.};..static.xtensa_arg_in
1b140 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 33 5f 61 ternal.Iclass_xt_iclass_rsr_m3_a
1b160 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
1b180 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 33 20 7d 2c 20 27 69 27 20 7d o'.},...{.{.OPERAND_mr3.},.'i'.}
1b1a0 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
1b1c0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 33 5f 61 72 67 73 5b 5d 20 3d Iclass_xt_iclass_wsr_m3_args[].=
1b1e0 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 .{...{.{.OPERAND_art.},.'i'.},..
1b200 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 33 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_mr3.},.'o'.}.};..st
1b220 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
1b240 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 xt_iclass_xsr_m3_args[].=.{...{.
1b260 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 {.OPERAND_art.},.'m'.},...{.{.OP
1b280 45 52 41 4e 44 5f 6d 72 33 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_mr3.},.'m'.}.};..static.xt
1b2a0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
1b2c0 73 73 5f 72 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ss_rsr_acclo_args[].=.{...{.{.OP
1b2e0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'o'.}.};..static.xt
1b300 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
1b320 73 73 5f 72 73 72 5f 61 63 63 6c 6f 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ss_rsr_acclo_stateArgs[].=.{...{
1b340 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .{.STATE_ACC.},.'i'.}.};..static
1b360 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
1b380 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b class_wsr_acclo_args[].=.{...{.{
1b3a0 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_art.},.'i'.}.};..static
1b3c0 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
1b3e0 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a class_wsr_acclo_stateArgs[].=.{.
1b400 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 ..{.{.STATE_ACC.},.'m'.}.};..sta
1b420 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
1b440 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 t_iclass_xsr_acclo_args[].=.{...
1b460 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_art.},.'m'.}.};..sta
1b480 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
1b4a0 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d t_iclass_xsr_acclo_stateArgs[].=
1b4c0 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a .{...{.{.STATE_ACC.},.'m'.}.};..
1b4e0 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
1b500 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 5f 61 72 67 73 5b 5d 20 3d 20 7b s_xt_iclass_rsr_acchi_args[].=.{
1b520 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'o'.}.};..
1b540 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
1b560 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 5f 73 74 61 74 65 41 72 67 73 5b s_xt_iclass_rsr_acchi_stateArgs[
1b580 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 69 27 20 7d 0a 7d ].=.{...{.{.STATE_ACC.},.'i'.}.}
1b5a0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
1b5c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 5f 61 72 67 73 5b 5d 20 lass_xt_iclass_wsr_acchi_args[].
1b5e0 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
1b600 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
1b620 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 5f 73 74 61 74 65 41 72 lass_xt_iclass_wsr_acchi_stateAr
1b640 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 gs[].=.{...{.{.STATE_ACC.},.'m'.
1b660 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
1b680 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 68 69 5f 61 72 67 73 .Iclass_xt_iclass_xsr_acchi_args
1b6a0 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 [].=.{...{.{.OPERAND_art.},.'m'.
1b6c0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
1b6e0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 68 69 5f 73 74 61 74 .Iclass_xt_iclass_xsr_acchi_stat
1b700 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 eArgs[].=.{...{.{.STATE_ACC.},.'
1b720 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
1b740 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 69 5f 61 72 67 73 5b 5d 20 nal.Iclass_xt_iclass_rfi_args[].
1b760 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a =.{...{.{.OPERAND_s.},.'i'.}.};.
1b780 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
1b7a0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 69 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b ss_xt_iclass_rfi_stateArgs[].=.{
1b7c0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b ...{.{.STATE_PSWOE.},.'o'.},...{
1b7e0 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b .{.STATE_PSCALLINC.},.'o'.},...{
1b800 20 7b 20 53 54 41 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 .{.STATE_PSOWB.},.'o'.},...{.{.S
1b820 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'m'.},...{.{.STAT
1b840 45 5f 50 53 55 4d 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 E_PSUM.},.'o'.},...{.{.STATE_PSE
1b860 58 43 4d 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c XCM.},.'m'.},...{.{.STATE_PSINTL
1b880 45 56 45 4c 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 31 20 EVEL.},.'o'.},...{.{.STATE_EPC1.
1b8a0 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 32 20 7d 2c 20 27 69 },.'i'.},...{.{.STATE_EPC2.},.'i
1b8c0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 33 20 7d 2c 20 27 69 27 20 7d 2c 0a '.},...{.{.STATE_EPC3.},.'i'.},.
1b8e0 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b ..{.{.STATE_EPC4.},.'i'.},...{.{
1b900 20 53 54 41 54 45 5f 45 50 43 35 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 .STATE_EPC5.},.'i'.},...{.{.STAT
1b920 45 5f 45 50 43 36 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 E_EPC6.},.'i'.},...{.{.STATE_EPC
1b940 37 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 32 20 7d 2c 20 7.},.'i'.},...{.{.STATE_EPS2.},.
1b960 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 33 20 7d 2c 20 27 69 27 20 7d 'i'.},...{.{.STATE_EPS3.},.'i'.}
1b980 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ,...{.{.STATE_EPS4.},.'i'.},...{
1b9a0 20 7b 20 53 54 41 54 45 5f 45 50 53 35 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .{.STATE_EPS5.},.'i'.},...{.{.ST
1b9c0 41 54 45 5f 45 50 53 36 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 ATE_EPS6.},.'i'.},...{.{.STATE_E
1b9e0 50 53 37 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 6e 4f 43 44 4d PS7.},.'i'.},...{.{.STATE_InOCDM
1ba00 6f 64 65 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 ode.},.'m'.}.};..static.xtensa_a
1ba20 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 rg_internal.Iclass_xt_iclass_wai
1ba40 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 20 7d 2c 20 t_args[].=.{...{.{.OPERAND_s.},.
1ba60 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
1ba80 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 74 5f 73 74 61 74 65 rnal.Iclass_xt_iclass_wait_state
1baa0 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c Args[].=.{...{.{.STATE_PSEXCM.},
1bac0 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 .'i'.},...{.{.STATE_PSRING.},.'i
1bae0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 '.},...{.{.STATE_PSINTLEVEL.},.'
1bb00 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 o'.}.};..static.xtensa_arg_inter
1bb20 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 72 72 75 nal.Iclass_xt_iclass_rsr_interru
1bb40 70 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 pt_args[].=.{...{.{.OPERAND_art.
1bb60 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'o'.}.};..static.xtensa_arg_i
1bb80 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 nternal.Iclass_xt_iclass_rsr_int
1bba0 65 72 72 75 70 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 errupt_stateArgs[].=.{...{.{.STA
1bbc0 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
1bbe0 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 PSRING.},.'i'.},...{.{.STATE_INT
1bc00 45 52 52 55 50 54 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ERRUPT.},.'i'.}.};..static.xtens
1bc20 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
1bc40 77 73 72 5f 69 6e 74 73 65 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 wsr_intset_args[].=.{...{.{.OPER
1bc60 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e AND_art.},.'i'.}.};..static.xten
1bc80 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
1bca0 5f 77 73 72 5f 69 6e 74 73 65 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _wsr_intset_stateArgs[].=.{...{.
1bcc0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
1bce0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
1bd00 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 E_XTSYNC.},.'o'.},...{.{.STATE_I
1bd20 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 NTERRUPT.},.'m'.}.};..static.xte
1bd40 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
1bd60 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 s_wsr_intclear_args[].=.{...{.{.
1bd80 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 OPERAND_art.},.'i'.}.};..static.
1bda0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
1bdc0 6c 61 73 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 lass_wsr_intclear_stateArgs[].=.
1bde0 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {...{.{.STATE_PSEXCM.},.'i'.},..
1be00 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
1be20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_XTSYNC.},.'o'.},...{.{.S
1be40 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 TATE_INTERRUPT.},.'m'.}.};..stat
1be60 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
1be80 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b _iclass_rsr_intenable_args[].=.{
1bea0 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'o'.}.};..
1bec0 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
1bee0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 s_xt_iclass_rsr_intenable_stateA
1bf00 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
1bf20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
1bf40 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 .},...{.{.STATE_INTENABLE.},.'i'
1bf60 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
1bf80 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 l.Iclass_xt_iclass_wsr_intenable
1bfa0 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
1bfc0 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
1bfe0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 65 6e ernal.Iclass_xt_iclass_wsr_inten
1c000 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 able_stateArgs[].=.{...{.{.STATE
1c020 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
1c040 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 4e RING.},.'i'.},...{.{.STATE_INTEN
1c060 41 42 4c 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f ABLE.},.'o'.}.};..static.xtensa_
1c080 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
1c0a0 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 r_intenable_args[].=.{...{.{.OPE
1c0c0 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'m'.}.};..static.xte
1c0e0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
1c100 73 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a s_xsr_intenable_stateArgs[].=.{.
1c120 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
1c140 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
1c160 53 54 41 54 45 5f 49 4e 54 45 4e 41 42 4c 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 STATE_INTENABLE.},.'m'.}.};..sta
1c180 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
1c1a0 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 6b 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 t_iclass_break_args[].=.{...{.{.
1c1c0 4f 50 45 52 41 4e 44 5f 69 6d 6d 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 OPERAND_imms.},.'i'.},...{.{.OPE
1c1e0 52 41 4e 44 5f 69 6d 6d 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 RAND_immt.},.'i'.}.};..static.xt
1c200 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
1c220 73 73 5f 62 72 65 61 6b 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 ss_break_stateArgs[].=.{...{.{.S
1c240 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSEXCM.},.'i'.},...{.{.STAT
1c260 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 E_PSINTLEVEL.},.'i'.}.};..static
1c280 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
1c2a0 63 6c 61 73 73 5f 62 72 65 61 6b 5f 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f class_break_n_args[].=.{...{.{.O
1c2c0 50 45 52 41 4e 44 5f 69 6d 6d 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 PERAND_imms.},.'i'.}.};..static.
1c2e0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
1c300 6c 61 73 73 5f 62 72 65 61 6b 5f 6e 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b lass_break_n_stateArgs[].=.{...{
1c320 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSEXCM.},.'i'.},...{.{.
1c340 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 STATE_PSINTLEVEL.},.'i'.}.};..st
1c360 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
1c380 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 72 67 73 5b 5d 20 3d 20 xt_iclass_rsr_dbreaka0_args[].=.
1c3a0 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'o'.}.};.
1c3c0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
1c3e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 ss_xt_iclass_rsr_dbreaka0_stateA
1c400 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
1c420 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
1c440 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 41 30 20 7d 2c 20 27 69 27 20 .},...{.{.STATE_DBREAKA0.},.'i'.
1c460 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
1c480 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 .Iclass_xt_iclass_wsr_dbreaka0_a
1c4a0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
1c4c0 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
1c4e0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 nal.Iclass_xt_iclass_wsr_dbreaka
1c500 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 0_stateArgs[].=.{...{.{.STATE_PS
1c520 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
1c540 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 41 30 G.},.'i'.},...{.{.STATE_DBREAKA0
1c560 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c .},.'o'.},...{.{.STATE_XTSYNC.},
1c580 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'o'.}.};..static.xtensa_arg_int
1c5a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 ernal.Iclass_xt_iclass_xsr_dbrea
1c5c0 6b 61 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 ka0_args[].=.{...{.{.OPERAND_art
1c5e0 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'m'.}.};..static.xtensa_arg_
1c600 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 internal.Iclass_xt_iclass_xsr_db
1c620 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 reaka0_stateArgs[].=.{...{.{.STA
1c640 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
1c660 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 PSRING.},.'i'.},...{.{.STATE_DBR
1c680 45 41 4b 41 30 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 EAKA0.},.'m'.},...{.{.STATE_XTSY
1c6a0 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NC.},.'o'.}.};..static.xtensa_ar
1c6c0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
1c6e0 64 62 72 65 61 6b 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e dbreakc0_args[].=.{...{.{.OPERAN
1c700 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
1c720 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
1c740 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 sr_dbreakc0_stateArgs[].=.{...{.
1c760 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
1c780 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
1c7a0 45 5f 44 42 52 45 41 4b 43 30 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 E_DBREAKC0.},.'i'.}.};..static.x
1c7c0 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
1c7e0 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_wsr_dbreakc0_args[].=.{...{.
1c800 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
1c820 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
1c840 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_wsr_dbreakc0_stateArgs[].
1c860 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
1c880 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
1c8a0 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 30 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b {.{.STATE_DBREAKC0.},.'o'.},...{
1c8c0 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 .{.STATE_XTSYNC.},.'o'.}.};..sta
1c8e0 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
1c900 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b t_iclass_xsr_dbreakc0_args[].=.{
1c920 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'m'.}.};..
1c940 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
1c960 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 s_xt_iclass_xsr_dbreakc0_stateAr
1c980 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 gs[].=.{...{.{.STATE_PSEXCM.},.'
1c9a0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 i'.},...{.{.STATE_PSRING.},.'i'.
1c9c0 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 30 20 7d 2c 20 27 6d 27 20 7d },...{.{.STATE_DBREAKC0.},.'m'.}
1c9e0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b ,...{.{.STATE_XTSYNC.},.'o'.}.};
1ca00 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
1ca20 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 31 5f 61 72 67 73 5b ass_xt_iclass_rsr_dbreaka1_args[
1ca40 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_art.},.'o'.}
1ca60 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
1ca80 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 Iclass_xt_iclass_rsr_dbreaka1_st
1caa0 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d ateArgs[].=.{...{.{.STATE_PSEXCM
1cac0 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c .},.'i'.},...{.{.STATE_PSRING.},
1cae0 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 41 31 20 7d 2c 20 .'i'.},...{.{.STATE_DBREAKA1.},.
1cb00 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
1cb20 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b rnal.Iclass_xt_iclass_wsr_dbreak
1cb40 61 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 a1_args[].=.{...{.{.OPERAND_art.
1cb60 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
1cb80 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 nternal.Iclass_xt_iclass_wsr_dbr
1cba0 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 eaka1_stateArgs[].=.{...{.{.STAT
1cbc0 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
1cbe0 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 SRING.},.'i'.},...{.{.STATE_DBRE
1cc00 41 4b 41 31 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e AKA1.},.'o'.},...{.{.STATE_XTSYN
1cc20 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 C.},.'o'.}.};..static.xtensa_arg
1cc40 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 _internal.Iclass_xt_iclass_xsr_d
1cc60 62 72 65 61 6b 61 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 breaka1_args[].=.{...{.{.OPERAND
1cc80 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'m'.}.};..static.xtensa_
1cca0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
1ccc0 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b r_dbreaka1_stateArgs[].=.{...{.{
1cce0 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSEXCM.},.'i'.},...{.{.ST
1cd00 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSRING.},.'i'.},...{.{.STATE
1cd20 5f 44 42 52 45 41 4b 41 31 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f _DBREAKA1.},.'m'.},...{.{.STATE_
1cd40 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 XTSYNC.},.'o'.}.};..static.xtens
1cd60 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
1cd80 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 rsr_dbreakc1_args[].=.{...{.{.OP
1cda0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'o'.}.};..static.xt
1cdc0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
1cde0 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a ss_rsr_dbreakc1_stateArgs[].=.{.
1ce00 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
1ce20 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
1ce40 53 54 41 54 45 5f 44 42 52 45 41 4b 43 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_DBREAKC1.},.'i'.}.};..stat
1ce60 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
1ce80 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a _iclass_wsr_dbreakc1_args[].=.{.
1cea0 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
1cec0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
1cee0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 73 74 61 74 65 41 72 67 _xt_iclass_wsr_dbreakc1_stateArg
1cf00 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
1cf20 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
1cf40 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 31 20 7d 2c 20 27 6f 27 20 7d 2c ,...{.{.STATE_DBREAKC1.},.'o'.},
1cf60 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a ...{.{.STATE_XTSYNC.},.'o'.}.};.
1cf80 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
1cfa0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 5b 5d ss_xt_iclass_xsr_dbreakc1_args[]
1cfc0 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a .=.{...{.{.OPERAND_art.},.'m'.}.
1cfe0 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
1d000 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 31 5f 73 74 61 class_xt_iclass_xsr_dbreakc1_sta
1d020 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 teArgs[].=.{...{.{.STATE_PSEXCM.
1d040 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 },.'i'.},...{.{.STATE_PSRING.},.
1d060 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 31 20 7d 2c 20 27 'i'.},...{.{.STATE_DBREAKC1.},.'
1d080 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 m'.},...{.{.STATE_XTSYNC.},.'o'.
1d0a0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
1d0c0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 61 .Iclass_xt_iclass_rsr_ibreaka0_a
1d0e0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
1d100 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 o'.}.};..static.xtensa_arg_inter
1d120 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 nal.Iclass_xt_iclass_rsr_ibreaka
1d140 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 0_stateArgs[].=.{...{.{.STATE_PS
1d160 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
1d180 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 30 G.},.'i'.},...{.{.STATE_IBREAKA0
1d1a0 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
1d1c0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 internal.Iclass_xt_iclass_wsr_ib
1d1e0 72 65 61 6b 61 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f reaka0_args[].=.{...{.{.OPERAND_
1d200 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'i'.}.};..static.xtensa_a
1d220 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rg_internal.Iclass_xt_iclass_wsr
1d240 5f 69 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 _ibreaka0_stateArgs[].=.{...{.{.
1d260 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
1d280 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
1d2a0 49 42 52 45 41 4b 41 30 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 IBREAKA0.},.'o'.}.};..static.xte
1d2c0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
1d2e0 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 s_xsr_ibreaka0_args[].=.{...{.{.
1d300 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 OPERAND_art.},.'m'.}.};..static.
1d320 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
1d340 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 lass_xsr_ibreaka0_stateArgs[].=.
1d360 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {...{.{.STATE_PSEXCM.},.'i'.},..
1d380 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
1d3a0 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 30 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 {.STATE_IBREAKA0.},.'m'.}.};..st
1d3c0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
1d3e0 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 5f 61 72 67 73 5b 5d 20 3d 20 xt_iclass_rsr_ibreaka1_args[].=.
1d400 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'o'.}.};.
1d420 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
1d440 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 ss_xt_iclass_rsr_ibreaka1_stateA
1d460 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
1d480 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
1d4a0 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 31 20 7d 2c 20 27 69 27 20 .},...{.{.STATE_IBREAKA1.},.'i'.
1d4c0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
1d4e0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 5f 61 .Iclass_xt_iclass_wsr_ibreaka1_a
1d500 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
1d520 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
1d540 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 nal.Iclass_xt_iclass_wsr_ibreaka
1d560 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 1_stateArgs[].=.{...{.{.STATE_PS
1d580 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
1d5a0 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 31 G.},.'i'.},...{.{.STATE_IBREAKA1
1d5c0 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
1d5e0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 internal.Iclass_xt_iclass_xsr_ib
1d600 72 65 61 6b 61 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f reaka1_args[].=.{...{.{.OPERAND_
1d620 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'m'.}.};..static.xtensa_a
1d640 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rg_internal.Iclass_xt_iclass_xsr
1d660 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 _ibreaka1_stateArgs[].=.{...{.{.
1d680 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
1d6a0 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
1d6c0 49 42 52 45 41 4b 41 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 IBREAKA1.},.'m'.}.};..static.xte
1d6e0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
1d700 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 s_rsr_ibreakenable_args[].=.{...
1d720 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_art.},.'o'.}.};..sta
1d740 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
1d760 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 t_iclass_rsr_ibreakenable_stateA
1d780 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
1d7a0 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
1d7c0 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 20 7d 2c 20 .},...{.{.STATE_IBREAKENABLE.},.
1d7e0 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
1d800 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b rnal.Iclass_xt_iclass_wsr_ibreak
1d820 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f enable_args[].=.{...{.{.OPERAND_
1d840 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'i'.}.};..static.xtensa_a
1d860 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rg_internal.Iclass_xt_iclass_wsr
1d880 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 _ibreakenable_stateArgs[].=.{...
1d8a0 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
1d8c0 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
1d8e0 41 54 45 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 ATE_IBREAKENABLE.},.'o'.}.};..st
1d900 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
1d920 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 61 72 67 73 5b xt_iclass_xsr_ibreakenable_args[
1d940 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d ].=.{...{.{.OPERAND_art.},.'m'.}
1d960 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
1d980 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c Iclass_xt_iclass_xsr_ibreakenabl
1d9a0 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 e_stateArgs[].=.{...{.{.STATE_PS
1d9c0 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
1d9e0 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 45 4e G.},.'i'.},...{.{.STATE_IBREAKEN
1da00 41 42 4c 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f ABLE.},.'m'.}.};..static.xtensa_
1da20 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
1da40 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 r_debugcause_args[].=.{...{.{.OP
1da60 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'o'.}.};..static.xt
1da80 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
1daa0 73 73 5f 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 ss_rsr_debugcause_stateArgs[].=.
1dac0 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {...{.{.STATE_PSEXCM.},.'i'.},..
1dae0 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
1db00 7b 20 53 54 41 54 45 5f 44 45 42 55 47 43 41 55 53 45 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b {.STATE_DEBUGCAUSE.},.'i'.},...{
1db20 20 7b 20 53 54 41 54 45 5f 44 42 4e 55 4d 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.STATE_DBNUM.},.'i'.}.};..stat
1db40 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
1db60 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 67 73 5b 5d 20 3d 20 _iclass_wsr_debugcause_args[].=.
1db80 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'i'.}.};.
1dba0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
1dbc0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 73 74 61 74 ss_xt_iclass_wsr_debugcause_stat
1dbe0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
1dc00 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
1dc20 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 42 55 47 43 41 55 53 45 20 7d 2c 20 i'.},...{.{.STATE_DEBUGCAUSE.},.
1dc40 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 4e 55 4d 20 7d 2c 20 27 6f 27 20 'o'.},...{.{.STATE_DBNUM.},.'o'.
1dc60 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
1dc80 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 .Iclass_xt_iclass_xsr_debugcause
1dca0 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
1dcc0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
1dce0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 62 75 67 ernal.Iclass_xt_iclass_xsr_debug
1dd00 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 cause_stateArgs[].=.{...{.{.STAT
1dd20 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
1dd40 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 42 55 SRING.},.'i'.},...{.{.STATE_DEBU
1dd60 47 43 41 55 53 45 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 4e GCAUSE.},.'m'.},...{.{.STATE_DBN
1dd80 55 4d 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 UM.},.'m'.}.};..static.xtensa_ar
1dda0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
1ddc0 69 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f icount_args[].=.{...{.{.OPERAND_
1dde0 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'o'.}.};..static.xtensa_a
1de00 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 rg_internal.Iclass_xt_iclass_rsr
1de20 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 _icount_stateArgs[].=.{...{.{.ST
1de40 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
1de60 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 43 _PSRING.},.'i'.},...{.{.STATE_IC
1de80 4f 55 4e 54 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f OUNT.},.'i'.}.};..static.xtensa_
1dea0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 arg_internal.Iclass_xt_iclass_ws
1dec0 72 5f 69 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e r_icount_args[].=.{...{.{.OPERAN
1dee0 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'i'.}.};..static.xtensa
1df00 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _arg_internal.Iclass_xt_iclass_w
1df20 73 72 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 sr_icount_stateArgs[].=.{...{.{.
1df40 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
1df60 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
1df80 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 43 4f XTSYNC.},.'o'.},...{.{.STATE_ICO
1dfa0 55 4e 54 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 UNT.},.'o'.}.};..static.xtensa_a
1dfc0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rg_internal.Iclass_xt_iclass_xsr
1dfe0 5f 69 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 _icount_args[].=.{...{.{.OPERAND
1e000 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'m'.}.};..static.xtensa_
1e020 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
1e040 72 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 r_icount_stateArgs[].=.{...{.{.S
1e060 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSEXCM.},.'i'.},...{.{.STAT
1e080 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 E_PSRING.},.'i'.},...{.{.STATE_X
1e0a0 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 43 4f 55 TSYNC.},.'o'.},...{.{.STATE_ICOU
1e0c0 4e 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NT.},.'m'.}.};..static.xtensa_ar
1e0e0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
1e100 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 icountlevel_args[].=.{...{.{.OPE
1e120 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
1e140 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
1e160 73 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 s_rsr_icountlevel_stateArgs[].=.
1e180 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {...{.{.STATE_PSEXCM.},.'i'.},..
1e1a0 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
1e1c0 7b 20 53 54 41 54 45 5f 49 43 4f 55 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a {.STATE_ICOUNTLEVEL.},.'i'.}.};.
1e1e0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
1e200 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 ss_xt_iclass_wsr_icountlevel_arg
1e220 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
1e240 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
1e260 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 l.Iclass_xt_iclass_wsr_icountlev
1e280 65 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 el_stateArgs[].=.{...{.{.STATE_P
1e2a0 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'i'.},...{.{.STATE_PSRI
1e2c0 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 43 4f 55 4e 54 4c NG.},.'i'.},...{.{.STATE_ICOUNTL
1e2e0 45 56 45 4c 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f EVEL.},.'o'.}.};..static.xtensa_
1e300 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
1e320 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f r_icountlevel_args[].=.{...{.{.O
1e340 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'m'.}.};..static.x
1e360 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
1e380 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 ass_xsr_icountlevel_stateArgs[].
1e3a0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
1e3c0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
1e3e0 7b 20 7b 20 53 54 41 54 45 5f 49 43 4f 55 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 6d 27 20 7d 0a 7d {.{.STATE_ICOUNTLEVEL.},.'m'.}.}
1e400 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
1e420 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 lass_xt_iclass_rsr_ddr_args[].=.
1e440 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'o'.}.};.
1e460 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
1e480 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d ss_xt_iclass_rsr_ddr_stateArgs[]
1e4a0 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d .=.{...{.{.STATE_PSEXCM.},.'i'.}
1e4c0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 ,...{.{.STATE_PSRING.},.'i'.},..
1e4e0 20 7b 20 7b 20 53 54 41 54 45 5f 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.{.STATE_DDR.},.'i'.}.};..stat
1e500 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
1e520 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b _iclass_wsr_ddr_args[].=.{...{.{
1e540 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_art.},.'i'.}.};..static
1e560 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
1e580 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 class_wsr_ddr_stateArgs[].=.{...
1e5a0 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
1e5c0 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
1e5e0 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_XTSYNC.},.'o'.},...{.{.STATE
1e600 5f 44 44 52 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _DDR.},.'o'.}.};..static.xtensa_
1e620 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
1e640 72 5f 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 r_ddr_args[].=.{...{.{.OPERAND_a
1e660 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'m'.}.};..static.xtensa_ar
1e680 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
1e6a0 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ddr_stateArgs[].=.{...{.{.STATE_
1e6c0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
1e6e0 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 ING.},.'i'.},...{.{.STATE_XTSYNC
1e700 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 44 52 20 7d 2c 20 27 6d .},.'o'.},...{.{.STATE_DDR.},.'m
1e720 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
1e740 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 6f 5f 61 72 67 73 5b 5d 20 al.Iclass_xt_iclass_rfdo_args[].
1e760 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 73 20 7d 2c 20 27 69 27 20 7d 0a =.{...{.{.OPERAND_imms.},.'i'.}.
1e780 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
1e7a0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 6f 5f 73 74 61 74 65 41 72 67 73 5b 5d class_xt_iclass_rfdo_stateArgs[]
1e7c0 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 6e 4f 43 44 4d 6f 64 65 20 7d 2c 20 27 6d .=.{...{.{.STATE_InOCDMode.},.'m
1e7e0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 36 20 7d 2c 20 27 69 27 20 7d 2c 0a '.},...{.{.STATE_EPC6.},.'i'.},.
1e800 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 ..{.{.STATE_PSWOE.},.'o'.},...{.
1e820 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 {.STATE_PSCALLINC.},.'o'.},...{.
1e840 7b 20 53 54 41 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 {.STATE_PSOWB.},.'o'.},...{.{.ST
1e860 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSRING.},.'o'.},...{.{.STATE
1e880 5f 50 53 55 4d 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 _PSUM.},.'o'.},...{.{.STATE_PSEX
1e8a0 43 4d 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 CM.},.'o'.},...{.{.STATE_PSINTLE
1e8c0 56 45 4c 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 36 20 7d VEL.},.'o'.},...{.{.STATE_EPS6.}
1e8e0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
1e900 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 5f 73 74 61 ternal.Iclass_xt_iclass_rfdd_sta
1e920 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 6e 4f 43 44 4d 6f teArgs[].=.{...{.{.STATE_InOCDMo
1e940 64 65 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 de.},.'m'.}.};..static.xtensa_ar
1e960 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
1e980 6d 6d 69 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 mmid_args[].=.{...{.{.OPERAND_ar
1e9a0 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
1e9c0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d _internal.Iclass_xt_iclass_wsr_m
1e9e0 6d 69 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f mid_stateArgs[].=.{...{.{.STATE_
1ea00 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
1ea20 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 ING.},.'i'.},...{.{.STATE_XTSYNC
1ea40 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
1ea60 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 internal.Iclass_xt_iclass_rsr_cc
1ea80 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ount_args[].=.{...{.{.OPERAND_ar
1eaa0 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
1eac0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 _internal.Iclass_xt_iclass_rsr_c
1eae0 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 count_stateArgs[].=.{...{.{.STAT
1eb00 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
1eb20 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 55 SRING.},.'i'.},...{.{.STATE_CCOU
1eb40 4e 54 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NT.},.'i'.}.};..static.xtensa_ar
1eb60 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
1eb80 63 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f ccount_args[].=.{...{.{.OPERAND_
1eba0 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'i'.}.};..static.xtensa_a
1ebc0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rg_internal.Iclass_xt_iclass_wsr
1ebe0 5f 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 _ccount_stateArgs[].=.{...{.{.ST
1ec00 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
1ec20 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 _PSRING.},.'i'.},...{.{.STATE_XT
1ec40 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 55 4e SYNC.},.'o'.},...{.{.STATE_CCOUN
1ec60 54 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 T.},.'o'.}.};..static.xtensa_arg
1ec80 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 _internal.Iclass_xt_iclass_xsr_c
1eca0 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 count_args[].=.{...{.{.OPERAND_a
1ecc0 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'m'.}.};..static.xtensa_ar
1ece0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
1ed00 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 ccount_stateArgs[].=.{...{.{.STA
1ed20 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
1ed40 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 PSRING.},.'i'.},...{.{.STATE_XTS
1ed60 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 55 4e 54 YNC.},.'o'.},...{.{.STATE_CCOUNT
1ed80 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'m'.}.};..static.xtensa_arg_
1eda0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 internal.Iclass_xt_iclass_rsr_cc
1edc0 6f 6d 70 61 72 65 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 ompare0_args[].=.{...{.{.OPERAND
1ede0 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'o'.}.};..static.xtensa_
1ee00 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
1ee20 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 r_ccompare0_stateArgs[].=.{...{.
1ee40 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
1ee60 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
1ee80 45 5f 43 43 4f 4d 50 41 52 45 30 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 E_CCOMPARE0.},.'i'.}.};..static.
1eea0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
1eec0 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 lass_wsr_ccompare0_args[].=.{...
1eee0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_art.},.'i'.}.};..sta
1ef00 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
1ef20 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 73 t_iclass_wsr_ccompare0_stateArgs
1ef40 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 [].=.{...{.{.STATE_PSEXCM.},.'i'
1ef60 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c .},...{.{.STATE_PSRING.},.'i'.},
1ef80 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 30 20 7d 2c 20 27 6f 27 20 7d 2c ...{.{.STATE_CCOMPARE0.},.'o'.},
1efa0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 7d 0a ...{.{.STATE_INTERRUPT.},.'m'.}.
1efc0 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
1efe0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 61 72 class_xt_iclass_xsr_ccompare0_ar
1f000 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d gs[].=.{...{.{.OPERAND_art.},.'m
1f020 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
1f040 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 al.Iclass_xt_iclass_xsr_ccompare
1f060 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 0_stateArgs[].=.{...{.{.STATE_PS
1f080 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
1f0a0 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 G.},.'i'.},...{.{.STATE_CCOMPARE
1f0c0 30 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 0.},.'m'.},...{.{.STATE_INTERRUP
1f0e0 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 T.},.'m'.}.};..static.xtensa_arg
1f100 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 _internal.Iclass_xt_iclass_rsr_c
1f120 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e compare1_args[].=.{...{.{.OPERAN
1f140 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
1f160 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
1f180 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b sr_ccompare1_stateArgs[].=.{...{
1f1a0 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSEXCM.},.'i'.},...{.{.
1f1c0 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSRING.},.'i'.},...{.{.STA
1f1e0 54 45 5f 43 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 TE_CCOMPARE1.},.'i'.}.};..static
1f200 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
1f220 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 class_wsr_ccompare1_args[].=.{..
1f240 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'i'.}.};..st
1f260 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
1f280 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 xt_iclass_wsr_ccompare1_stateArg
1f2a0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
1f2c0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
1f2e0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 6f 27 20 7d ,...{.{.STATE_CCOMPARE1.},.'o'.}
1f300 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 7d ,...{.{.STATE_INTERRUPT.},.'m'.}
1f320 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
1f340 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 Iclass_xt_iclass_xsr_ccompare1_a
1f360 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
1f380 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
1f3a0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 nal.Iclass_xt_iclass_xsr_ccompar
1f3c0 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 e1_stateArgs[].=.{...{.{.STATE_P
1f3e0 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'i'.},...{.{.STATE_PSRI
1f400 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 NG.},.'i'.},...{.{.STATE_CCOMPAR
1f420 45 31 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 E1.},.'m'.},...{.{.STATE_INTERRU
1f440 50 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 PT.},.'m'.}.};..static.xtensa_ar
1f460 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
1f480 63 63 6f 6d 70 61 72 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 ccompare2_args[].=.{...{.{.OPERA
1f4a0 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'o'.}.};..static.xtens
1f4c0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
1f4e0 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 rsr_ccompare2_stateArgs[].=.{...
1f500 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
1f520 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
1f540 41 54 45 5f 43 43 4f 4d 50 41 52 45 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 ATE_CCOMPARE2.},.'i'.}.};..stati
1f560 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
1f580 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a iclass_wsr_ccompare2_args[].=.{.
1f5a0 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
1f5c0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
1f5e0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 _xt_iclass_wsr_ccompare2_stateAr
1f600 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 gs[].=.{...{.{.STATE_PSEXCM.},.'
1f620 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 i'.},...{.{.STATE_PSRING.},.'i'.
1f640 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 32 20 7d 2c 20 27 6f 27 20 },...{.{.STATE_CCOMPARE2.},.'o'.
1f660 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 },...{.{.STATE_INTERRUPT.},.'m'.
1f680 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
1f6a0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f .Iclass_xt_iclass_xsr_ccompare2_
1f6c0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
1f6e0 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'm'.}.};..static.xtensa_arg_inte
1f700 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 rnal.Iclass_xt_iclass_xsr_ccompa
1f720 72 65 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f re2_stateArgs[].=.{...{.{.STATE_
1f740 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
1f760 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 ING.},.'i'.},...{.{.STATE_CCOMPA
1f780 52 45 32 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 RE2.},.'m'.},...{.{.STATE_INTERR
1f7a0 55 50 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 UPT.},.'m'.}.};..static.xtensa_a
1f7c0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 rg_internal.Iclass_xt_iclass_ica
1f7e0 63 68 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 che_args[].=.{...{.{.OPERAND_ars
1f800 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 .},.'i'.},...{.{.OPERAND_uimm8x4
1f820 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
1f840 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 internal.Iclass_xt_iclass_icache
1f860 5f 6c 6f 63 6b 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 _lock_args[].=.{...{.{.OPERAND_a
1f880 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 34 rs.},.'i'.},...{.{.OPERAND_uimm4
1f8a0 78 31 36 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 x16.},.'i'.}.};..static.xtensa_a
1f8c0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 rg_internal.Iclass_xt_iclass_ica
1f8e0 63 68 65 5f 6c 6f 63 6b 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 che_lock_stateArgs[].=.{...{.{.S
1f900 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSEXCM.},.'i'.},...{.{.STAT
1f920 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 E_PSRING.},.'i'.}.};..static.xte
1f940 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
1f960 73 5f 69 63 61 63 68 65 5f 69 6e 76 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 s_icache_inv_args[].=.{...{.{.OP
1f980 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e ERAND_ars.},.'i'.},...{.{.OPERAN
1f9a0 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 D_uimm8x4.},.'i'.}.};..static.xt
1f9c0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
1f9e0 73 73 5f 69 63 61 63 68 65 5f 69 6e 76 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 ss_icache_inv_stateArgs[].=.{...
1fa00 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
1fa20 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 .STATE_PSRING.},.'i'.}.};..stati
1fa40 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
1fa60 69 63 6c 61 73 73 5f 6c 69 63 78 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 iclass_licx_args[].=.{...{.{.OPE
1fa80 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 RAND_art.},.'o'.},...{.{.OPERAND
1faa0 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _ars.},.'i'.}.};..static.xtensa_
1fac0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 arg_internal.Iclass_xt_iclass_li
1fae0 63 78 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 cx_stateArgs[].=.{...{.{.STATE_P
1fb00 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'i'.},...{.{.STATE_PSRI
1fb20 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NG.},.'i'.}.};..static.xtensa_ar
1fb40 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 g_internal.Iclass_xt_iclass_sicx
1fb60 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
1fb80 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 .'i'.},...{.{.OPERAND_ars.},.'i'
1fba0 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
1fbc0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 5f 73 74 61 74 65 41 72 67 l.Iclass_xt_iclass_sicx_stateArg
1fbe0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
1fc00 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
1fc20 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
1fc40 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 61 72 67 73 5b 5d 20 3d Iclass_xt_iclass_dcache_args[].=
1fc60 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 .{...{.{.OPERAND_ars.},.'i'.},..
1fc80 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b .{.{.OPERAND_uimm8x4.},.'i'.}.};
1fca0 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
1fcc0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 64 79 6e 5f 61 72 67 73 5b 5d 20 ass_xt_iclass_dcache_dyn_args[].
1fce0 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 6d 27 20 7d 0a 7d =.{...{.{.OPERAND_ars.},.'m'.}.}
1fd00 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
1fd20 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 64 79 6e 5f 73 74 61 74 65 41 lass_xt_iclass_dcache_dyn_stateA
1fd40 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
1fd60 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
1fd80 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
1fda0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 5f 61 72 l.Iclass_xt_iclass_dcache_ind_ar
1fdc0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 gs[].=.{...{.{.OPERAND_ars.},.'i
1fde0 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 34 78 31 36 20 7d 2c 20 27 '.},...{.{.OPERAND_uimm4x16.},.'
1fe00 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
1fe20 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 5f nal.Iclass_xt_iclass_dcache_ind_
1fe40 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
1fe60 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
1fe80 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
1fea0 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f nternal.Iclass_xt_iclass_dcache_
1fec0 69 6e 76 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 inv_args[].=.{...{.{.OPERAND_ars
1fee0 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 .},.'i'.},...{.{.OPERAND_uimm8x4
1ff00 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
1ff20 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 internal.Iclass_xt_iclass_dcache
1ff40 5f 69 6e 76 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 _inv_stateArgs[].=.{...{.{.STATE
1ff60 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
1ff80 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f RING.},.'i'.}.};..static.xtensa_
1ffa0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 70 arg_internal.Iclass_xt_iclass_dp
1ffc0 66 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d f_args[].=.{...{.{.OPERAND_ars.}
1ffe0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d ,.'i'.},...{.{.OPERAND_uimm8x4.}
20000 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
20020 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c ternal.Iclass_xt_iclass_dcache_l
20040 6f 63 6b 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 ock_args[].=.{...{.{.OPERAND_ars
20060 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 34 78 31 .},.'i'.},...{.{.OPERAND_uimm4x1
20080 36 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 6.},.'i'.}.};..static.xtensa_arg
200a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 _internal.Iclass_xt_iclass_dcach
200c0 65 5f 6c 6f 63 6b 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 e_lock_stateArgs[].=.{...{.{.STA
200e0 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
20100 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 PSRING.},.'i'.}.};..static.xtens
20120 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
20140 73 64 63 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 sdct_args[].=.{...{.{.OPERAND_ar
20160 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c t.},.'i'.},...{.{.OPERAND_ars.},
20180 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
201a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 64 63 74 5f 73 74 61 74 ernal.Iclass_xt_iclass_sdct_stat
201c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
201e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
20200 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
20220 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 74 5f 61 72 67 73 5b 5d nal.Iclass_xt_iclass_ldct_args[]
20240 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c .=.{...{.{.OPERAND_art.},.'o'.},
20260 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_ars.},.'i'.}.};..
20280 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
202a0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b s_xt_iclass_ldct_stateArgs[].=.{
202c0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSEXCM.},.'i'.},...
202e0 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 {.{.STATE_PSRING.},.'i'.}.};..st
20300 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
20320 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 xt_iclass_wsr_ptevaddr_args[].=.
20340 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'i'.}.};.
20360 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
20380 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 73 74 61 74 65 41 ss_xt_iclass_wsr_ptevaddr_stateA
203a0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
203c0 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
203e0 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 54 42 41 53 45 20 7d 2c 20 27 6f 27 20 7d 2c .},...{.{.STATE_PTBASE.},.'o'.},
20400 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a ...{.{.STATE_XTSYNC.},.'o'.}.};.
20420 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
20440 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 61 72 67 73 5b 5d ss_xt_iclass_rsr_ptevaddr_args[]
20460 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a .=.{...{.{.OPERAND_art.},.'o'.}.
20480 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
204a0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 73 74 61 class_xt_iclass_rsr_ptevaddr_sta
204c0 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 teArgs[].=.{...{.{.STATE_PSEXCM.
204e0 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 },.'i'.},...{.{.STATE_PSRING.},.
20500 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 54 42 41 53 45 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PTBASE.},.'i'
20520 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 .},...{.{.STATE_EXCVADDR.},.'i'.
20540 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
20560 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 72 5f 61 .Iclass_xt_iclass_xsr_ptevaddr_a
20580 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
205a0 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
205c0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 nal.Iclass_xt_iclass_xsr_ptevadd
205e0 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 r_stateArgs[].=.{...{.{.STATE_PS
20600 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
20620 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 54 42 41 53 45 20 7d G.},.'i'.},...{.{.STATE_PTBASE.}
20640 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c ,.'m'.},...{.{.STATE_EXCVADDR.},
20660 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f .'i'.},...{.{.STATE_XTSYNC.},.'o
20680 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
206a0 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 5f 61 72 al.Iclass_xt_iclass_rsr_rasid_ar
206c0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f gs[].=.{...{.{.OPERAND_art.},.'o
206e0 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
20700 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 5f 73 74 al.Iclass_xt_iclass_rsr_rasid_st
20720 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d ateArgs[].=.{...{.{.STATE_PSEXCM
20740 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c .},.'i'.},...{.{.STATE_PSRING.},
20760 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 33 20 7d 2c 20 27 69 27 .'i'.},...{.{.STATE_ASID3.},.'i'
20780 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 32 20 7d 2c 20 27 69 27 20 7d 2c 0a .},...{.{.STATE_ASID2.},.'i'.},.
207a0 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.STATE_ASID1.},.'i'.}.};..s
207c0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
207e0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a _xt_iclass_wsr_rasid_args[].=.{.
20800 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
20820 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
20840 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 5f 73 74 61 74 65 41 72 67 73 5b 5d _xt_iclass_wsr_rasid_stateArgs[]
20860 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d .=.{...{.{.STATE_XTSYNC.},.'o'.}
20880 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 ,...{.{.STATE_PSEXCM.},.'i'.},..
208a0 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
208c0 7b 20 53 54 41 54 45 5f 41 53 49 44 33 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 {.STATE_ASID3.},.'o'.},...{.{.ST
208e0 41 54 45 5f 41 53 49 44 32 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ATE_ASID2.},.'o'.},...{.{.STATE_
20900 41 53 49 44 31 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 ASID1.},.'o'.}.};..static.xtensa
20920 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
20940 73 72 5f 72 61 73 69 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e sr_rasid_args[].=.{...{.{.OPERAN
20960 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'m'.}.};..static.xtensa
20980 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
209a0 73 72 5f 72 61 73 69 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 sr_rasid_stateArgs[].=.{...{.{.S
209c0 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_XTSYNC.},.'o'.},...{.{.STAT
209e0 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
20a00 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 SRING.},.'i'.},...{.{.STATE_ASID
20a20 33 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 32 20 7d 2c 3.},.'m'.},...{.{.STATE_ASID2.},
20a40 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 31 20 7d 2c 20 27 6d 27 .'m'.},...{.{.STATE_ASID1.},.'m'
20a60 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
20a80 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f 61 l.Iclass_xt_iclass_rsr_itlbcfg_a
20aa0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
20ac0 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 o'.}.};..static.xtensa_arg_inter
20ae0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 nal.Iclass_xt_iclass_rsr_itlbcfg
20b00 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 _stateArgs[].=.{...{.{.STATE_PSE
20b20 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 XCM.},.'i'.},...{.{.STATE_PSRING
20b40 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 .},.'i'.},...{.{.STATE_INSTPGSZI
20b60 44 36 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 D6.},.'i'.},...{.{.STATE_INSTPGS
20b80 5a 49 44 35 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 ZID5.},.'i'.},...{.{.STATE_INSTP
20ba0 47 53 5a 49 44 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 GSZID4.},.'i'.}.};..static.xtens
20bc0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
20be0 77 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 wsr_itlbcfg_args[].=.{...{.{.OPE
20c00 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'i'.}.};..static.xte
20c20 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
20c40 73 5f 77 73 72 5f 69 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 s_wsr_itlbcfg_stateArgs[].=.{...
20c60 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_XTSYNC.},.'o'.},...{.{
20c80 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSEXCM.},.'i'.},...{.{.ST
20ca0 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSRING.},.'i'.},...{.{.STATE
20cc0 5f 49 4e 53 54 50 47 53 5a 49 44 36 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 _INSTPGSZID6.},.'o'.},...{.{.STA
20ce0 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 35 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 TE_INSTPGSZID5.},.'o'.},...{.{.S
20d00 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 TATE_INSTPGSZID4.},.'o'.}.};..st
20d20 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
20d40 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 5b 5d 20 3d 20 7b xt_iclass_xsr_itlbcfg_args[].=.{
20d60 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'m'.}.};..
20d80 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
20da0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 s_xt_iclass_xsr_itlbcfg_stateArg
20dc0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f s[].=.{...{.{.STATE_XTSYNC.},.'o
20de0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSEXCM.},.'i'.}
20e00 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 ,...{.{.STATE_PSRING.},.'i'.},..
20e20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 36 20 7d 2c 20 27 6d 27 20 7d 2c .{.{.STATE_INSTPGSZID6.},.'m'.},
20e40 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 35 20 7d 2c 20 27 6d 27 20 ...{.{.STATE_INSTPGSZID5.},.'m'.
20e60 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 34 20 7d 2c 20 27 6d },...{.{.STATE_INSTPGSZID4.},.'m
20e80 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
20ea0 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 67 5f al.Iclass_xt_iclass_rsr_dtlbcfg_
20ec0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
20ee0 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'o'.}.};..static.xtensa_arg_inte
20f00 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 rnal.Iclass_xt_iclass_rsr_dtlbcf
20f20 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 g_stateArgs[].=.{...{.{.STATE_PS
20f40 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
20f60 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a G.},.'i'.},...{.{.STATE_DATAPGSZ
20f80 49 44 36 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 ID6.},.'i'.},...{.{.STATE_DATAPG
20fa0 53 5a 49 44 35 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 SZID5.},.'i'.},...{.{.STATE_DATA
20fc0 50 47 53 5a 49 44 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e PGSZID4.},.'i'.}.};..static.xten
20fe0 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
21000 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 _wsr_dtlbcfg_args[].=.{...{.{.OP
21020 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
21040 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
21060 73 73 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 ss_wsr_dtlbcfg_stateArgs[].=.{..
21080 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_XTSYNC.},.'o'.},...{.
210a0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
210c0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
210e0 45 5f 44 41 54 41 50 47 53 5a 49 44 36 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 E_DATAPGSZID6.},.'o'.},...{.{.ST
21100 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 35 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 ATE_DATAPGSZID5.},.'o'.},...{.{.
21120 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 STATE_DATAPGSZID4.},.'o'.}.};..s
21140 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
21160 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 67 73 5b 5d 20 3d 20 _xt_iclass_xsr_dtlbcfg_args[].=.
21180 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'m'.}.};.
211a0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
211c0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 ss_xt_iclass_xsr_dtlbcfg_stateAr
211e0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 gs[].=.{...{.{.STATE_XTSYNC.},.'
21200 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 o'.},...{.{.STATE_PSEXCM.},.'i'.
21220 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a },...{.{.STATE_PSRING.},.'i'.},.
21240 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 36 20 7d 2c 20 27 6d 27 20 7d ..{.{.STATE_DATAPGSZID6.},.'m'.}
21260 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 35 20 7d 2c 20 27 6d 27 ,...{.{.STATE_DATAPGSZID5.},.'m'
21280 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 34 20 7d 2c 20 27 .},...{.{.STATE_DATAPGSZID4.},.'
212a0 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
212c0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 5f 61 72 67 73 5b nal.Iclass_xt_iclass_idtlb_args[
212e0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d ].=.{...{.{.OPERAND_ars.},.'i'.}
21300 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
21320 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 5f 73 74 61 74 65 41 72 67 73 Iclass_xt_iclass_idtlb_stateArgs
21340 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 [].=.{...{.{.STATE_PSEXCM.},.'i'
21360 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c .},...{.{.STATE_PSRING.},.'i'.},
21380 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a ...{.{.STATE_XTSYNC.},.'o'.}.};.
213a0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
213c0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 64 74 6c 62 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 ss_xt_iclass_rdtlb_args[].=.{...
213e0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 {.{.OPERAND_art.},.'o'.},...{.{.
21400 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 OPERAND_ars.},.'i'.}.};..static.
21420 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
21440 6c 61 73 73 5f 72 64 74 6c 62 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b lass_rdtlb_stateArgs[].=.{...{.{
21460 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSEXCM.},.'i'.},...{.{.ST
21480 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 ATE_PSRING.},.'i'.}.};..static.x
214a0 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
214c0 61 73 73 5f 77 64 74 6c 62 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 ass_wdtlb_args[].=.{...{.{.OPERA
214e0 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 ND_art.},.'i'.},...{.{.OPERAND_a
21500 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rs.},.'i'.}.};..static.xtensa_ar
21520 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 64 74 6c g_internal.Iclass_xt_iclass_wdtl
21540 62 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 b_stateArgs[].=.{...{.{.STATE_PS
21560 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
21580 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d G.},.'i'.},...{.{.STATE_XTSYNC.}
215a0 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
215c0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 5f 61 72 ternal.Iclass_xt_iclass_iitlb_ar
215e0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 gs[].=.{...{.{.OPERAND_ars.},.'i
21600 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
21620 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 5f 73 74 61 74 65 41 al.Iclass_xt_iclass_iitlb_stateA
21640 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
21660 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
21680 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
216a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 5f 61 72 67 73 5b 5d 20 l.Iclass_xt_iclass_ritlb_args[].
216c0 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a =.{...{.{.OPERAND_art.},.'o'.},.
216e0 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_ars.},.'i'.}.};..s
21700 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
21720 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b _xt_iclass_ritlb_stateArgs[].=.{
21740 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSEXCM.},.'i'.},...
21760 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 {.{.STATE_PSRING.},.'i'.}.};..st
21780 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
217a0 78 74 5f 69 63 6c 61 73 73 5f 77 69 74 6c 62 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b xt_iclass_witlb_args[].=.{...{.{
217c0 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 .OPERAND_art.},.'i'.},...{.{.OPE
217e0 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_ars.},.'i'.}.};..static.xte
21800 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
21820 73 5f 77 69 74 6c 62 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 s_witlb_stateArgs[].=.{...{.{.ST
21840 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
21860 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e _PSRING.},.'i'.}.};..static.xten
21880 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
218a0 5f 6c 64 70 74 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 _ldpte_stateArgs[].=.{...{.{.STA
218c0 54 45 5f 50 54 42 41 53 45 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PTBASE.},.'i'.},...{.{.STATE_
218e0 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 EXCVADDR.},.'i'.}.};..static.xte
21900 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
21920 73 5f 68 77 77 69 74 6c 62 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b s_hwwitlba_stateArgs[].=.{...{.{
21940 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 .STATE_EXCVADDR.},.'i'.}.};..sta
21960 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
21980 74 5f 69 63 6c 61 73 73 5f 68 77 77 64 74 6c 62 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 t_iclass_hwwdtlba_stateArgs[].=.
219a0 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a {...{.{.STATE_EXCVADDR.},.'i'.}.
219c0 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
219e0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 61 72 67 class_xt_iclass_rsr_cpenable_arg
21a00 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
21a20 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
21a40 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f l.Iclass_xt_iclass_rsr_cpenable_
21a60 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
21a80 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
21aa0 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d },.'i'.},...{.{.STATE_CPENABLE.}
21ac0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
21ae0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 70 65 6e ternal.Iclass_xt_iclass_wsr_cpen
21b00 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 able_args[].=.{...{.{.OPERAND_ar
21b20 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
21b40 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 _internal.Iclass_xt_iclass_wsr_c
21b60 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 penable_stateArgs[].=.{...{.{.ST
21b80 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
21ba0 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 _PSRING.},.'i'.},...{.{.STATE_CP
21bc0 45 4e 41 42 4c 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ENABLE.},.'o'.}.};..static.xtens
21be0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
21c00 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 xsr_cpenable_args[].=.{...{.{.OP
21c20 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'m'.}.};..static.xt
21c40 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
21c60 73 73 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a ss_xsr_cpenable_stateArgs[].=.{.
21c80 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
21ca0 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
21cc0 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_CPENABLE.},.'m'.}.};..stat
21ce0 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
21d00 5f 69 63 6c 61 73 73 5f 63 6c 61 6d 70 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f _iclass_clamp_args[].=.{...{.{.O
21d20 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_arr.},.'o'.},...{.{.OPERA
21d40 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 74 ND_ars.},.'i'.},...{.{.OPERAND_t
21d60 70 37 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 p7.},.'i'.}.};..static.xtensa_ar
21d80 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d g_internal.Iclass_xt_iclass_minm
21da0 61 78 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 ax_args[].=.{...{.{.OPERAND_arr.
21dc0 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 },.'o'.},...{.{.OPERAND_ars.},.'
21de0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d i'.},...{.{.OPERAND_art.},.'i'.}
21e00 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
21e20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 73 61 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a Iclass_xt_iclass_nsa_args[].=.{.
21e40 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 ..{.{.OPERAND_art.},.'o'.},...{.
21e60 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_ars.},.'i'.}.};..stati
21e80 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
21ea0 69 63 6c 61 73 73 5f 73 78 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 iclass_sx_args[].=.{...{.{.OPERA
21ec0 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 ND_arr.},.'o'.},...{.{.OPERAND_a
21ee0 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 74 70 37 20 7d rs.},.'i'.},...{.{.OPERAND_tp7.}
21f00 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
21f20 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 61 69 5f 61 72 ternal.Iclass_xt_iclass_l32ai_ar
21f40 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f gs[].=.{...{.{.OPERAND_art.},.'o
21f60 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c '.},...{.{.OPERAND_ars.},.'i'.},
21f80 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a ...{.{.OPERAND_uimm8x4.},.'i'.}.
21fa0 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
21fc0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 72 69 5f 61 72 67 73 5b 5d 20 3d 20 7b class_xt_iclass_s32ri_args[].=.{
21fe0 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ...{.{.OPERAND_art.},.'i'.},...{
22000 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
22020 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 PERAND_uimm8x4.},.'i'.}.};..stat
22040 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
22060 5f 69 63 6c 61 73 73 5f 73 33 32 63 31 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 _iclass_s32c1i_args[].=.{...{.{.
22080 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 OPERAND_art.},.'m'.},...{.{.OPER
220a0 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f AND_ars.},.'i'.},...{.{.OPERAND_
220c0 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e uimm8x4.},.'i'.}.};..static.xten
220e0 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
22100 5f 73 33 32 63 31 69 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 _s32c1i_stateArgs[].=.{...{.{.ST
22120 41 54 45 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 ATE_SCOMPARE1.},.'i'.},...{.{.ST
22140 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_XTSYNC.},.'i'.},...{.{.STATE
22160 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 _SCOMPARE1.},.'i'.}.};..static.x
22180 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
221a0 61 73 73 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_rsr_scompare1_args[].=.{...{
221c0 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.OPERAND_art.},.'o'.}.};..stat
221e0 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
22200 5f 69 63 6c 61 73 73 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 5b _iclass_rsr_scompare1_stateArgs[
22220 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 ].=.{...{.{.STATE_SCOMPARE1.},.'
22240 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
22260 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f 6d 70 61 72 nal.Iclass_xt_iclass_wsr_scompar
22280 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 e1_args[].=.{...{.{.OPERAND_art.
222a0 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
222c0 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f nternal.Iclass_xt_iclass_wsr_sco
222e0 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 mpare1_stateArgs[].=.{...{.{.STA
22300 54 45 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 TE_SCOMPARE1.},.'o'.}.};..static
22320 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
22340 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 class_xsr_scompare1_args[].=.{..
22360 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'m'.}.};..st
22380 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
223a0 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 xt_iclass_xsr_scompare1_stateArg
223c0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c s[].=.{...{.{.STATE_SCOMPARE1.},
223e0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
22400 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 6f 6d 63 ernal.Iclass_xt_iclass_rsr_atomc
22420 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 tl_args[].=.{...{.{.OPERAND_art.
22440 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'o'.}.};..static.xtensa_arg_i
22460 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 6f nternal.Iclass_xt_iclass_rsr_ato
22480 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 mctl_stateArgs[].=.{...{.{.STATE
224a0 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
224c0 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 54 4f 4d 43 RING.},.'i'.},...{.{.STATE_ATOMC
224e0 54 4c 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 TL.},.'i'.}.};..static.xtensa_ar
22500 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
22520 61 74 6f 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 atomctl_args[].=.{...{.{.OPERAND
22540 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'i'.}.};..static.xtensa_
22560 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 arg_internal.Iclass_xt_iclass_ws
22580 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 r_atomctl_stateArgs[].=.{...{.{.
225a0 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
225c0 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
225e0 41 54 4f 4d 43 54 4c 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 ATOMCTL.},.'o'.},...{.{.STATE_XT
22600 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f SYNC.},.'o'.}.};..static.xtensa_
22620 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
22640 72 5f 61 74 6f 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 r_atomctl_args[].=.{...{.{.OPERA
22660 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'m'.}.};..static.xtens
22680 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
226a0 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 xsr_atomctl_stateArgs[].=.{...{.
226c0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
226e0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
22700 45 5f 41 54 4f 4d 43 54 4c 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f E_ATOMCTL.},.'m'.},...{.{.STATE_
22720 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 XTSYNC.},.'o'.}.};..static.xtens
22740 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
22760 64 69 76 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 div_args[].=.{...{.{.OPERAND_arr
22780 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 .},.'o'.},...{.{.OPERAND_ars.},.
227a0 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 'i'.},...{.{.OPERAND_art.},.'i'.
227c0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
227e0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 5f 61 72 67 73 5b 5d 20 3d 20 7b .Iclass_xt_iclass_rer_args[].=.{
22800 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b ...{.{.OPERAND_art.},.'o'.},...{
22820 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.OPERAND_ars.},.'i'.}.};..stat
22840 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
22860 5f 69 63 6c 61 73 73 5f 72 65 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_rer_stateArgs[].=.{...{.
22880 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
228a0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 TATE_PSRING.},.'i'.}.};..static.
228c0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
228e0 6c 61 73 73 5f 77 65 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e lass_wer_args[].=.{...{.{.OPERAN
22900 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 D_art.},.'i'.},...{.{.OPERAND_ar
22920 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 s.},.'i'.}.};..static.xtensa_arg
22940 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 65 72 5f 73 _internal.Iclass_xt_iclass_wer_s
22960 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 tateArgs[].=.{...{.{.STATE_PSEXC
22980 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d M.},.'i'.},...{.{.STATE_PSRING.}
229a0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
229c0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 61 72 67 73 5b ternal.Iclass_rur_expstate_args[
229e0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_arr.},.'o'.}
22a00 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
22a20 49 63 6c 61 73 73 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 Iclass_rur_expstate_stateArgs[].
22a40 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 45 20 7d 2c 20 27 69 27 20 =.{...{.{.STATE_EXPSTATE.},.'i'.
22a60 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 7d },...{.{.STATE_CPENABLE.},.'i'.}
22a80 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
22aa0 49 63 6c 61 73 73 5f 77 75 72 5f 65 78 70 73 74 61 74 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 Iclass_wur_expstate_args[].=.{..
22ac0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'i'.}.};..st
22ae0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
22b00 77 75 72 5f 65 78 70 73 74 61 74 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b wur_expstate_stateArgs[].=.{...{
22b20 20 7b 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 45 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 .{.STATE_EXPSTATE.},.'o'.},...{.
22b40 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 {.STATE_CPENABLE.},.'i'.}.};..st
22b60 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
22b80 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 iclass_READ_IMPWIRE_args[].=.{..
22ba0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'o'.}.};..st
22bc0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
22be0 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_READ_IMPWIRE_stateArgs[].
22c00 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 =.{...{.{.STATE_CPENABLE.},.'i'.
22c20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 69 6e 74 65 72 66 61 63 65 20 49 63 }.};..static.xtensa_interface.Ic
22c40 6c 61 73 73 5f 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f 69 6e 74 66 41 72 67 lass_iclass_READ_IMPWIRE_intfArg
22c60 73 5b 5d 20 3d 20 7b 0a 20 20 49 4e 54 45 52 46 41 43 45 5f 49 4d 50 57 49 52 45 0a 7d 3b 0a 0a s[].=.{...INTERFACE_IMPWIRE.};..
22c80 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
22ca0 73 5f 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 5f 61 72 67 73 5b 5d 20 3d 20 s_iclass_SETB_EXPSTATE_args[].=.
22cc0 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 69 74 69 6e 64 65 78 20 7d 2c 20 27 69 27 20 {...{.{.OPERAND_bitindex.},.'i'.
22ce0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
22d00 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 5f 73 74 61 .Iclass_iclass_SETB_EXPSTATE_sta
22d20 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 teArgs[].=.{...{.{.STATE_EXPSTAT
22d40 45 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 E.},.'m'.},...{.{.STATE_CPENABLE
22d60 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
22d80 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 43 4c 52 42 5f 45 58 50 53 internal.Iclass_iclass_CLRB_EXPS
22da0 54 41 54 45 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 69 TATE_args[].=.{...{.{.OPERAND_bi
22dc0 74 69 6e 64 65 78 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 tindex.},.'i'.}.};..static.xtens
22de0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 43 4c 52 a_arg_internal.Iclass_iclass_CLR
22e00 42 5f 45 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b B_EXPSTATE_stateArgs[].=.{...{.{
22e20 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 45 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 .STATE_EXPSTATE.},.'m'.},...{.{.
22e40 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_CPENABLE.},.'i'.}.};..stat
22e60 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 69 63 ic.xtensa_arg_internal.Iclass_ic
22e80 6c 61 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 lass_WRMSK_EXPSTATE_args[].=.{..
22ea0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b .{.{.OPERAND_art.},.'i'.},...{.{
22ec0 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_ars.},.'i'.}.};..static
22ee0 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 69 63 6c 61 .xtensa_arg_internal.Iclass_icla
22f00 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 ss_WRMSK_EXPSTATE_stateArgs[].=.
22f20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 45 20 7d 2c 20 27 6d 27 20 7d 2c {...{.{.STATE_EXPSTATE.},.'m'.},
22f40 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 7d 0a 7d ...{.{.STATE_CPENABLE.},.'i'.}.}
22f60 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 69 63 6c 61 73 73 5f 69 6e 74 65 72 6e 61 6c ;..static.xtensa_iclass_internal
22f80 20 69 63 6c 61 73 73 65 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 .iclasses[].=.{...{.0,.0./*.xt_i
22fa0 63 6c 61 73 73 5f 65 78 63 77 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c class_excw.*/,.....0,.0,.0,.0.},
22fc0 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 72 66 65 20 2a 2f 2c 0a 20 ...{.0,.0./*.xt_iclass_rfe.*/,..
22fe0 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 65 5f 73 74 61 74 65 ...3,.Iclass_xt_iclass_rfe_state
23000 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c Args,.0,.0.},...{.0,.0./*.xt_icl
23020 61 73 73 5f 72 66 64 65 20 2a 2f 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 ass_rfde.*/,.....3,.Iclass_xt_ic
23040 6c 61 73 73 5f 72 66 64 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b lass_rfde_stateArgs,.0,.0.},...{
23060 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 73 79 73 63 61 6c 6c 20 2a 2f 2c 0a 20 .0,.0./*.xt_iclass_syscall.*/,..
23080 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.2,.Iclass_x
230a0 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c t_iclass_call12_args,.....1,.Icl
230c0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 5f 73 74 61 74 65 41 72 67 73 2c 20 ass_xt_iclass_call12_stateArgs,.
230e0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.2,.Iclass_xt_iclass_
23100 63 61 6c 6c 38 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c call8_args,.....1,.Iclass_xt_icl
23120 61 73 73 5f 63 61 6c 6c 38 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b ass_call8_stateArgs,.0,.0.},...{
23140 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 34 5f 61 72 67 73 2c .2,.Iclass_xt_iclass_call4_args,
23160 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 34 5f 73 .....1,.Iclass_xt_iclass_call4_s
23180 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.2,.Iclass_
231a0 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 31 32 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 xt_iclass_callx12_args,.....1,.I
231c0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 31 32 5f 73 74 61 74 65 41 72 67 class_xt_iclass_callx12_stateArg
231e0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 s,.0,.0.},...{.2,.Iclass_xt_icla
23200 73 73 5f 63 61 6c 6c 78 38 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 ss_callx8_args,.....1,.Iclass_xt
23220 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 38 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d _iclass_callx8_stateArgs,.0,.0.}
23240 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 34 ,...{.2,.Iclass_xt_iclass_callx4
23260 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 _args,.....1,.Iclass_xt_iclass_c
23280 61 6c 6c 78 34 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 allx4_stateArgs,.0,.0.},...{.3,.
232a0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 6e 74 72 79 5f 61 72 67 73 2c 0a 20 20 20 Iclass_xt_iclass_entry_args,....
232c0 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 6e 74 72 79 5f 73 74 61 74 65 .5,.Iclass_xt_iclass_entry_state
232e0 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.2,.Iclass_xt_i
23300 63 6c 61 73 73 5f 6d 6f 76 73 70 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f class_movsp_args,.....2,.Iclass_
23320 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 73 70 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 xt_iclass_movsp_stateArgs,.0,.0.
23340 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 6f 74 77 5f },...{.1,.Iclass_xt_iclass_rotw_
23360 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 6f args,.....3,.Iclass_xt_iclass_ro
23380 74 77 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c tw_stateArgs,.0,.0.},...{.1,.Icl
233a0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 77 5f 61 72 67 73 2c 0a 20 20 20 20 35 2c 20 ass_xt_iclass_retw_args,.....5,.
233c0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 77 5f 73 74 61 74 65 41 72 67 73 2c Iclass_xt_iclass_retw_stateArgs,
233e0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 72 .0,.0.},...{.0,.0./*.xt_iclass_r
23400 66 77 6f 75 20 2a 2f 2c 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 fwou.*/,.....6,.Iclass_xt_iclass
23420 5f 72 66 77 6f 75 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c _rfwou_stateArgs,.0,.0.},...{.3,
23440 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 5f 61 72 67 73 2c 0a 20 20 20 .Iclass_xt_iclass_l32e_args,....
23460 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 5f 73 74 61 74 65 41 .2,.Iclass_xt_iclass_l32e_stateA
23480 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.3,.Iclass_xt_ic
234a0 6c 61 73 73 5f 73 33 32 65 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 lass_s32e_args,.....2,.Iclass_xt
234c0 5f 69 63 6c 61 73 73 5f 73 33 32 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a _iclass_s32e_stateArgs,.0,.0.},.
234e0 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 ..{.1,.Iclass_xt_iclass_rsr_wind
23500 6f 77 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 owbase_args,.....3,.Iclass_xt_ic
23520 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 lass_rsr_windowbase_stateArgs,.0
23540 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 ,.0.},...{.1,.Iclass_xt_iclass_w
23560 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 sr_windowbase_args,.....3,.Iclas
23580 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 73 74 61 74 65 s_xt_iclass_wsr_windowbase_state
235a0 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.1,.Iclass_xt_i
235c0 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 class_xsr_windowbase_args,.....3
235e0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 ,.Iclass_xt_iclass_xsr_windowbas
23600 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 e_stateArgs,.0,.0.},...{.1,.Icla
23620 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 72 67 ss_xt_iclass_rsr_windowstart_arg
23640 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 s,.....3,.Iclass_xt_iclass_rsr_w
23660 69 6e 64 6f 77 73 74 61 72 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 indowstart_stateArgs,.0,.0.},...
23680 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 {.1,.Iclass_xt_iclass_wsr_window
236a0 73 74 61 72 74 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c start_args,.....3,.Iclass_xt_icl
236c0 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 ass_wsr_windowstart_stateArgs,.0
236e0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 ,.0.},...{.1,.Iclass_xt_iclass_x
23700 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 sr_windowstart_args,.....3,.Icla
23720 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 73 74 61 ss_xt_iclass_xsr_windowstart_sta
23740 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.3,.Iclass_xt
23760 5f 69 63 6c 61 73 73 5f 61 64 64 5f 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c _iclass_add_n_args,.....0,.0,.0,
23780 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 .0.},...{.3,.Iclass_xt_iclass_ad
237a0 64 69 5f 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b di_n_args,.....0,.0,.0,.0.},...{
237c0 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 7a 36 5f 61 72 67 73 2c 0a 20 .2,.Iclass_xt_iclass_bz6_args,..
237e0 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f ...0,.0,.0,.0.},...{.0,.0./*.xt_
23800 69 63 6c 61 73 73 5f 69 6c 6c 5f 6e 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 iclass_ill_n.*/,.....0,.0,.0,.0.
23820 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 61 64 69 },...{.3,.Iclass_xt_iclass_loadi
23840 34 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 4_args,.....0,.0,.0,.0.},...{.2,
23860 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 5f 6e 5f 61 72 67 73 2c 0a 20 20 .Iclass_xt_iclass_mov_n_args,...
23880 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 ..0,.0,.0,.0.},...{.2,.Iclass_xt
238a0 5f 69 63 6c 61 73 73 5f 6d 6f 76 69 5f 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 _iclass_movi_n_args,.....0,.0,.0
238c0 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 ,.0.},...{.0,.0./*.xt_iclass_nop
238e0 6e 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 n.*/,.....0,.0,.0,.0.},...{.1,.I
23900 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 class_xt_iclass_retn_args,.....0
23920 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 ,.0,.0,.0.},...{.3,.Iclass_xt_ic
23940 6c 61 73 73 5f 73 74 6f 72 65 69 34 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 lass_storei4_args,.....0,.0,.0,.
23960 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 0.},...{.1,.Iclass_rur_threadptr
23980 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 72 75 72 5f 74 68 72 65 61 64 70 _args,.....1,.Iclass_rur_threadp
239a0 74 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c tr_stateArgs,.0,.0.},...{.1,.Icl
239c0 61 73 73 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 ass_wur_threadptr_args,.....1,.I
239e0 63 6c 61 73 73 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 class_wur_threadptr_stateArgs,.0
23a00 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 ,.0.},...{.3,.Iclass_xt_iclass_a
23a20 64 64 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ddi_args,.....0,.0,.0,.0.},...{.
23a40 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 6d 69 5f 61 72 67 73 2c 0a 3,.Iclass_xt_iclass_addmi_args,.
23a60 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f ....0,.0,.0,.0.},...{.3,.Iclass_
23a80 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c xt_iclass_addsub_args,.....0,.0,
23aa0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.3,.Iclass_xt_iclass
23ac0 5f 62 69 74 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _bit_args,.....0,.0,.0,.0.},...{
23ae0 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 5f 61 72 67 73 2c 0a .3,.Iclass_xt_iclass_bsi8_args,.
23b00 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f ....0,.0,.0,.0.},...{.3,.Iclass_
23b20 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 xt_iclass_bsi8b_args,.....0,.0,.
23b40 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.3,.Iclass_xt_iclass_
23b60 62 73 69 38 75 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 bsi8u_args,.....0,.0,.0,.0.},...
23b80 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 5f 61 72 67 73 2c {.3,.Iclass_xt_iclass_bst8_args,
23ba0 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 .....0,.0,.0,.0.},...{.2,.Iclass
23bc0 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c _xt_iclass_bsz12_args,.....0,.0,
23be0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.2,.Iclass_xt_iclass
23c00 5f 63 61 6c 6c 30 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 _call0_args,.....0,.0,.0,.0.},..
23c20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 30 5f 61 72 .{.2,.Iclass_xt_iclass_callx0_ar
23c40 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 34 2c 20 49 63 6c gs,.....0,.0,.0,.0.},...{.4,.Icl
23c60 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 78 74 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 ass_xt_iclass_exti_args,.....0,.
23c80 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 0,.0,.0.},...{.0,.0./*.xt_iclass
23ca0 5f 69 6c 6c 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 _ill.*/,.....0,.0,.0,.0.},...{.1
23cc0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 5f 61 72 67 73 2c 0a 20 20 ,.Iclass_xt_iclass_jump_args,...
23ce0 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 ..0,.0,.0,.0.},...{.1,.Iclass_xt
23d00 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 78 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c _iclass_jumpx_args,.....0,.0,.0,
23d20 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 .0.},...{.3,.Iclass_xt_iclass_l1
23d40 36 75 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 6ui_args,.....0,.0,.0,.0.},...{.
23d60 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 36 73 69 5f 61 72 67 73 2c 0a 3,.Iclass_xt_iclass_l16si_args,.
23d80 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f ....0,.0,.0,.0.},...{.3,.Iclass_
23da0 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 xt_iclass_l32i_args,.....0,.0,.0
23dc0 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c ,.0.},...{.2,.Iclass_xt_iclass_l
23de0 33 32 72 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32r_args,.....0,.0,.0,.0.},...{.
23e00 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 38 69 5f 61 72 67 73 2c 0a 20 20 3,.Iclass_xt_iclass_l8i_args,...
23e20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 ..0,.0,.0,.0.},...{.2,.Iclass_xt
23e40 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 _iclass_loop_args,.....3,.Iclass
23e60 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 _xt_iclass_loop_stateArgs,.0,.0.
23e80 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 7a },...{.2,.Iclass_xt_iclass_loopz
23ea0 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c _args,.....3,.Iclass_xt_iclass_l
23ec0 6f 6f 70 7a 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 oopz_stateArgs,.0,.0.},...{.2,.I
23ee0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 class_xt_iclass_movi_args,.....0
23f00 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 ,.0,.0,.0.},...{.3,.Iclass_xt_ic
23f20 6c 61 73 73 5f 6d 6f 76 7a 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d lass_movz_args,.....0,.0,.0,.0.}
23f40 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 65 67 5f 61 72 ,...{.2,.Iclass_xt_iclass_neg_ar
23f60 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f gs,.....0,.0,.0,.0.},...{.0,.0./
23f80 2a 20 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c *.xt_iclass_nop.*/,.....0,.0,.0,
23fa0 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 .0.},...{.1,.Iclass_xt_iclass_re
23fc0 74 75 72 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b turn_args,.....0,.0,.0,.0.},...{
23fe0 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 73 69 6d 63 61 6c 6c 20 2a 2f 2c 0a 20 .0,.0./*.xt_iclass_simcall.*/,..
24000 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.3,.Iclass_x
24020 74 5f 69 63 6c 61 73 73 5f 73 31 36 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c t_iclass_s16i_args,.....0,.0,.0,
24040 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 .0.},...{.3,.Iclass_xt_iclass_s3
24060 32 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2i_args,.....0,.0,.0,.0.},...{.3
24080 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 6e 62 5f 61 72 67 73 2c 0a 20 ,.Iclass_xt_iclass_s32nb_args,..
240a0 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.3,.Iclass_x
240c0 74 5f 69 63 6c 61 73 73 5f 73 38 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 t_iclass_s8i_args,.....0,.0,.0,.
240e0 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 0.},...{.1,.Iclass_xt_iclass_sar
24100 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 _args,.....1,.Iclass_xt_iclass_s
24120 61 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c ar_stateArgs,.0,.0.},...{.1,.Icl
24140 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 ass_xt_iclass_sari_args,.....1,.
24160 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 5f 73 74 61 74 65 41 72 67 73 2c Iclass_xt_iclass_sari_stateArgs,
24180 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.2,.Iclass_xt_iclass
241a0 5f 73 68 69 66 74 73 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 _shifts_args,.....1,.Iclass_xt_i
241c0 63 6c 61 73 73 5f 73 68 69 66 74 73 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a class_shifts_stateArgs,.0,.0.},.
241e0 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 74 5f ..{.3,.Iclass_xt_iclass_shiftst_
24200 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 args,.....1,.Iclass_xt_iclass_sh
24220 69 66 74 73 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 iftst_stateArgs,.0,.0.},...{.2,.
24240 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 74 5f 61 72 67 73 2c 0a 20 20 Iclass_xt_iclass_shiftt_args,...
24260 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 74 5f 73 74 61 ..1,.Iclass_xt_iclass_shiftt_sta
24280 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.3,.Iclass_xt
242a0 5f 69 63 6c 61 73 73 5f 73 6c 6c 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 _iclass_slli_args,.....0,.0,.0,.
242c0 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 61 0.},...{.3,.Iclass_xt_iclass_sra
242e0 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c i_args,.....0,.0,.0,.0.},...{.3,
24300 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 6c 69 5f 61 72 67 73 2c 0a 20 20 20 .Iclass_xt_iclass_srli_args,....
24320 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 .0,.0,.0,.0.},...{.0,.0./*.xt_ic
24340 6c 61 73 73 5f 6d 65 6d 77 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a lass_memw.*/,.....0,.0,.0,.0.},.
24360 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 65 78 74 77 20 2a 2f 2c 0a 20 ..{.0,.0./*.xt_iclass_extw.*/,..
24380 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f ...0,.0,.0,.0.},...{.0,.0./*.xt_
243a0 69 63 6c 61 73 73 5f 69 73 79 6e 63 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 iclass_isync.*/,.....0,.0,.0,.0.
243c0 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 20 2a 2f },...{.0,.0./*.xt_iclass_sync.*/
243e0 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 5f 73 ,.....1,.Iclass_xt_iclass_sync_s
24400 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.2,.Iclass_
24420 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 5f 61 72 67 73 2c 0a 20 20 20 20 37 2c 20 49 63 6c 61 xt_iclass_rsil_args,.....7,.Icla
24440 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 ss_xt_iclass_rsil_stateArgs,.0,.
24460 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 0.},...{.1,.Iclass_xt_iclass_rsr
24480 5f 6c 65 6e 64 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c _lend_args,.....1,.Iclass_xt_icl
244a0 61 73 73 5f 72 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a ass_rsr_lend_stateArgs,.0,.0.},.
244c0 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 65 6e 64 ..{.1,.Iclass_xt_iclass_wsr_lend
244e0 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _args,.....1,.Iclass_xt_iclass_w
24500 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 sr_lend_stateArgs,.0,.0.},...{.1
24520 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 65 6e 64 5f 61 72 67 73 ,.Iclass_xt_iclass_xsr_lend_args
24540 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 65 ,.....1,.Iclass_xt_iclass_xsr_le
24560 6e 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c nd_stateArgs,.0,.0.},...{.1,.Icl
24580 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 2c 0a 20 ass_xt_iclass_rsr_lcount_args,..
245a0 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e ...1,.Iclass_xt_iclass_rsr_lcoun
245c0 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 t_stateArgs,.0,.0.},...{.1,.Icla
245e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 2c 0a 20 20 ss_xt_iclass_wsr_lcount_args,...
24600 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 ..2,.Iclass_xt_iclass_wsr_lcount
24620 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 _stateArgs,.0,.0.},...{.1,.Iclas
24640 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 2c 0a 20 20 20 s_xt_iclass_xsr_lcount_args,....
24660 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f .2,.Iclass_xt_iclass_xsr_lcount_
24680 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
246a0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 65 67 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c _xt_iclass_rsr_lbeg_args,.....1,
246c0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 65 67 5f 73 74 61 74 65 .Iclass_xt_iclass_rsr_lbeg_state
246e0 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.1,.Iclass_xt_i
24700 63 6c 61 73 73 5f 77 73 72 5f 6c 62 65 67 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 class_wsr_lbeg_args,.....1,.Icla
24720 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 62 65 67 5f 73 74 61 74 65 41 72 67 73 2c ss_xt_iclass_wsr_lbeg_stateArgs,
24740 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
24760 5f 78 73 72 5f 6c 62 65 67 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 _xsr_lbeg_args,.....1,.Iclass_xt
24780 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 62 65 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 _iclass_xsr_lbeg_stateArgs,.0,.0
247a0 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f .},...{.1,.Iclass_xt_iclass_rsr_
247c0 73 61 72 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 sar_args,.....1,.Iclass_xt_iclas
247e0 73 5f 72 73 72 5f 73 61 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b s_rsr_sar_stateArgs,.0,.0.},...{
24800 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 61 72 5f 61 72 67 .1,.Iclass_xt_iclass_wsr_sar_arg
24820 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 s,.....2,.Iclass_xt_iclass_wsr_s
24840 61 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c ar_stateArgs,.0,.0.},...{.1,.Icl
24860 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 72 5f 61 72 67 73 2c 0a 20 20 20 20 ass_xt_iclass_xsr_sar_args,.....
24880 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 72 5f 73 74 61 74 1,.Iclass_xt_iclass_xsr_sar_stat
248a0 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.1,.Iclass_xt_
248c0 69 63 6c 61 73 73 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 iclass_rsr_memctl_args,.....0,.0
248e0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
24900 73 5f 77 73 72 5f 6d 65 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 s_wsr_memctl_args,.....0,.0,.0,.
24920 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 0.},...{.1,.Iclass_xt_iclass_xsr
24940 5f 6d 65 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a _memctl_args,.....0,.0,.0,.0.},.
24960 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 69 74 62 ..{.1,.Iclass_xt_iclass_rsr_litb
24980 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ase_args,.....0,.0,.0,.0.},...{.
249a0 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 69 74 62 61 73 65 5f 1,.Iclass_xt_iclass_wsr_litbase_
249c0 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 args,.....0,.0,.0,.0.},...{.1,.I
249e0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 69 74 62 61 73 65 5f 61 72 67 73 class_xt_iclass_xsr_litbase_args
24a00 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 ,.....0,.0,.0,.0.},...{.1,.Iclas
24a20 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 61 72 67 73 2c 0a s_xt_iclass_rsr_configid0_args,.
24a40 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 ....2,.Iclass_xt_iclass_rsr_conf
24a60 69 67 69 64 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 igid0_stateArgs,.0,.0.},...{.1,.
24a80 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 61 Iclass_xt_iclass_wsr_configid0_a
24aa0 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rgs,.....2,.Iclass_xt_iclass_wsr
24ac0 5f 63 6f 6e 66 69 67 69 64 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 _configid0_stateArgs,.0,.0.},...
24ae0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 {.1,.Iclass_xt_iclass_rsr_config
24b00 69 64 31 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 id1_args,.....2,.Iclass_xt_iclas
24b20 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 s_rsr_configid1_stateArgs,.0,.0.
24b40 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 },...{.1,.Iclass_xt_iclass_rsr_p
24b60 73 5f 61 72 67 73 2c 0a 20 20 20 20 37 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f s_args,.....7,.Iclass_xt_iclass_
24b80 72 73 72 5f 70 73 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c rsr_ps_stateArgs,.0,.0.},...{.1,
24ba0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 5f 61 72 67 73 2c 0a 20 .Iclass_xt_iclass_wsr_ps_args,..
24bc0 20 20 20 37 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 5f 73 74 ...7,.Iclass_xt_iclass_wsr_ps_st
24be0 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.1,.Iclass_x
24c00 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 73 5f 61 72 67 73 2c 0a 20 20 20 20 37 2c 20 49 63 6c t_iclass_xsr_ps_args,.....7,.Icl
24c20 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 73 5f 73 74 61 74 65 41 72 67 73 2c 20 ass_xt_iclass_xsr_ps_stateArgs,.
24c40 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
24c60 72 73 72 5f 65 70 63 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f rsr_epc1_args,.....3,.Iclass_xt_
24c80 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 iclass_rsr_epc1_stateArgs,.0,.0.
24ca0 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 },...{.1,.Iclass_xt_iclass_wsr_e
24cc0 70 63 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 pc1_args,.....3,.Iclass_xt_iclas
24ce0 73 5f 77 73 72 5f 65 70 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 s_wsr_epc1_stateArgs,.0,.0.},...
24d00 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 5f 61 {.1,.Iclass_xt_iclass_xsr_epc1_a
24d20 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rgs,.....3,.Iclass_xt_iclass_xsr
24d40 5f 65 70 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 _epc1_stateArgs,.0,.0.},...{.1,.
24d60 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f 61 72 Iclass_xt_iclass_rsr_excsave1_ar
24d80 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f gs,.....3,.Iclass_xt_iclass_rsr_
24da0 65 78 63 73 61 76 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 excsave1_stateArgs,.0,.0.},...{.
24dc0 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 31 1,.Iclass_xt_iclass_wsr_excsave1
24de0 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _args,.....3,.Iclass_xt_iclass_w
24e00 73 72 5f 65 78 63 73 61 76 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 sr_excsave1_stateArgs,.0,.0.},..
24e20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 .{.1,.Iclass_xt_iclass_xsr_excsa
24e40 76 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ve1_args,.....3,.Iclass_xt_iclas
24e60 73 5f 78 73 72 5f 65 78 63 73 61 76 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d s_xsr_excsave1_stateArgs,.0,.0.}
24e80 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 ,...{.1,.Iclass_xt_iclass_rsr_ep
24ea0 63 32 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 c2_args,.....3,.Iclass_xt_iclass
24ec0 5f 72 73 72 5f 65 70 63 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _rsr_epc2_stateArgs,.0,.0.},...{
24ee0 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 32 5f 61 72 .1,.Iclass_xt_iclass_wsr_epc2_ar
24f00 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f gs,.....3,.Iclass_xt_iclass_wsr_
24f20 65 70 63 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 epc2_stateArgs,.0,.0.},...{.1,.I
24f40 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 32 5f 61 72 67 73 2c 0a 20 class_xt_iclass_xsr_epc2_args,..
24f60 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 32 5f ...3,.Iclass_xt_iclass_xsr_epc2_
24f80 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
24fa0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 67 73 2c 0a 20 20 _xt_iclass_rsr_excsave2_args,...
24fc0 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 ..3,.Iclass_xt_iclass_rsr_excsav
24fe0 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c e2_stateArgs,.0,.0.},...{.1,.Icl
25000 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 67 73 2c ass_xt_iclass_wsr_excsave2_args,
25020 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 .....3,.Iclass_xt_iclass_wsr_exc
25040 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 save2_stateArgs,.0,.0.},...{.1,.
25060 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 Iclass_xt_iclass_xsr_excsave2_ar
25080 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f gs,.....3,.Iclass_xt_iclass_xsr_
250a0 65 78 63 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 excsave2_stateArgs,.0,.0.},...{.
250c0 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 33 5f 61 72 67 1,.Iclass_xt_iclass_rsr_epc3_arg
250e0 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 s,.....3,.Iclass_xt_iclass_rsr_e
25100 70 63 33 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 pc3_stateArgs,.0,.0.},...{.1,.Ic
25120 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 5f 61 72 67 73 2c 0a 20 20 lass_xt_iclass_wsr_epc3_args,...
25140 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 5f 73 ..3,.Iclass_xt_iclass_wsr_epc3_s
25160 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
25180 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 xt_iclass_xsr_epc3_args,.....3,.
251a0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 5f 73 74 61 74 65 41 Iclass_xt_iclass_xsr_epc3_stateA
251c0 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
251e0 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 lass_rsr_excsave3_args,.....3,.I
25200 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 73 74 61 class_xt_iclass_rsr_excsave3_sta
25220 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
25240 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 _iclass_wsr_excsave3_args,.....3
25260 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 5f ,.Iclass_xt_iclass_wsr_excsave3_
25280 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
252a0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 2c 0a 20 20 _xt_iclass_xsr_excsave3_args,...
252c0 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 ..3,.Iclass_xt_iclass_xsr_excsav
252e0 65 33 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c e3_stateArgs,.0,.0.},...{.1,.Icl
25300 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 34 5f 61 72 67 73 2c 0a 20 20 20 ass_xt_iclass_rsr_epc4_args,....
25320 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 34 5f 73 74 .3,.Iclass_xt_iclass_rsr_epc4_st
25340 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.1,.Iclass_x
25360 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 t_iclass_wsr_epc4_args,.....3,.I
25380 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 34 5f 73 74 61 74 65 41 72 class_xt_iclass_wsr_epc4_stateAr
253a0 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c gs,.0,.0.},...{.1,.Iclass_xt_icl
253c0 61 73 73 5f 78 73 72 5f 65 70 63 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 ass_xsr_epc4_args,.....3,.Iclass
253e0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 34 5f 73 74 61 74 65 41 72 67 73 2c 20 30 _xt_iclass_xsr_epc4_stateArgs,.0
25400 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ,.0.},...{.1,.Iclass_xt_iclass_r
25420 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f sr_excsave4_args,.....3,.Iclass_
25440 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f 73 74 61 74 65 41 72 67 73 xt_iclass_rsr_excsave4_stateArgs
25460 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
25480 73 5f 77 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 s_wsr_excsave4_args,.....3,.Icla
254a0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 34 5f 73 74 61 74 65 41 ss_xt_iclass_wsr_excsave4_stateA
254c0 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
254e0 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 lass_xsr_excsave4_args,.....3,.I
25500 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 73 74 61 class_xt_iclass_xsr_excsave4_sta
25520 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
25540 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 _iclass_rsr_epc5_args,.....3,.Ic
25560 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_rsr_epc5_stateArg
25580 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 s,.0,.0.},...{.1,.Iclass_xt_icla
255a0 73 73 5f 77 73 72 5f 65 70 63 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f ss_wsr_epc5_args,.....3,.Iclass_
255c0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c xt_iclass_wsr_epc5_stateArgs,.0,
255e0 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 .0.},...{.1,.Iclass_xt_iclass_xs
25600 72 5f 65 70 63 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 r_epc5_args,.....3,.Iclass_xt_ic
25620 6c 61 73 73 5f 78 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c lass_xsr_epc5_stateArgs,.0,.0.},
25640 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 ...{.1,.Iclass_xt_iclass_rsr_exc
25660 73 61 76 65 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c save5_args,.....3,.Iclass_xt_icl
25680 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ass_rsr_excsave5_stateArgs,.0,.0
256a0 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f .},...{.1,.Iclass_xt_iclass_wsr_
256c0 65 78 63 73 61 76 65 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f excsave5_args,.....3,.Iclass_xt_
256e0 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 iclass_wsr_excsave5_stateArgs,.0
25700 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 ,.0.},...{.1,.Iclass_xt_iclass_x
25720 73 72 5f 65 78 63 73 61 76 65 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f sr_excsave5_args,.....3,.Iclass_
25740 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 xt_iclass_xsr_excsave5_stateArgs
25760 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
25780 73 5f 72 73 72 5f 65 70 63 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 s_rsr_epc6_args,.....3,.Iclass_x
257a0 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 t_iclass_rsr_epc6_stateArgs,.0,.
257c0 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 0.},...{.1,.Iclass_xt_iclass_wsr
257e0 5f 65 70 63 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c _epc6_args,.....3,.Iclass_xt_icl
25800 61 73 73 5f 77 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a ass_wsr_epc6_stateArgs,.0,.0.},.
25820 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 ..{.1,.Iclass_xt_iclass_xsr_epc6
25840 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _args,.....3,.Iclass_xt_iclass_x
25860 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 sr_epc6_stateArgs,.0,.0.},...{.1
25880 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 5f ,.Iclass_xt_iclass_rsr_excsave6_
258a0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....3,.Iclass_xt_iclass_rs
258c0 72 5f 65 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_excsave6_stateArgs,.0,.0.},...
258e0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 {.1,.Iclass_xt_iclass_wsr_excsav
25900 65 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 e6_args,.....3,.Iclass_xt_iclass
25920 5f 77 73 72 5f 65 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c _wsr_excsave6_stateArgs,.0,.0.},
25940 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 ...{.1,.Iclass_xt_iclass_xsr_exc
25960 73 61 76 65 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c save6_args,.....3,.Iclass_xt_icl
25980 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ass_xsr_excsave6_stateArgs,.0,.0
259a0 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f .},...{.1,.Iclass_xt_iclass_rsr_
259c0 65 70 63 37 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 epc7_args,.....3,.Iclass_xt_icla
259e0 73 73 5f 72 73 72 5f 65 70 63 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 ss_rsr_epc7_stateArgs,.0,.0.},..
25a00 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 37 5f .{.1,.Iclass_xt_iclass_wsr_epc7_
25a20 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 args,.....3,.Iclass_xt_iclass_ws
25a40 72 5f 65 70 63 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c r_epc7_stateArgs,.0,.0.},...{.1,
25a60 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 5f 61 72 67 73 2c .Iclass_xt_iclass_xsr_epc7_args,
25a80 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 .....3,.Iclass_xt_iclass_xsr_epc
25aa0 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 7_stateArgs,.0,.0.},...{.1,.Icla
25ac0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 37 5f 61 72 67 73 2c 0a ss_xt_iclass_rsr_excsave7_args,.
25ae0 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 ....3,.Iclass_xt_iclass_rsr_excs
25b00 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 ave7_stateArgs,.0,.0.},...{.1,.I
25b20 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 37 5f 61 72 67 class_xt_iclass_wsr_excsave7_arg
25b40 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 s,.....3,.Iclass_xt_iclass_wsr_e
25b60 78 63 73 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 xcsave7_stateArgs,.0,.0.},...{.1
25b80 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 37 5f ,.Iclass_xt_iclass_xsr_excsave7_
25ba0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 args,.....3,.Iclass_xt_iclass_xs
25bc0 72 5f 65 78 63 73 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_excsave7_stateArgs,.0,.0.},...
25be0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 32 5f 61 {.1,.Iclass_xt_iclass_rsr_eps2_a
25c00 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 rgs,.....3,.Iclass_xt_iclass_rsr
25c20 5f 65 70 73 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 _eps2_stateArgs,.0,.0.},...{.1,.
25c40 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 5f 61 72 67 73 2c 0a Iclass_xt_iclass_wsr_eps2_args,.
25c60 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 ....3,.Iclass_xt_iclass_wsr_eps2
25c80 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 _stateArgs,.0,.0.},...{.1,.Iclas
25ca0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 32 5f 61 72 67 73 2c 0a 20 20 20 20 33 s_xt_iclass_xsr_eps2_args,.....3
25cc0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 32 5f 73 74 61 74 ,.Iclass_xt_iclass_xsr_eps2_stat
25ce0 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.1,.Iclass_xt_
25d00 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c iclass_rsr_eps3_args,.....3,.Icl
25d20 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 33 5f 73 74 61 74 65 41 72 67 73 ass_xt_iclass_rsr_eps3_stateArgs
25d40 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
25d60 73 5f 77 73 72 5f 65 70 73 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 s_wsr_eps3_args,.....3,.Iclass_x
25d80 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 33 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 t_iclass_wsr_eps3_stateArgs,.0,.
25da0 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 0.},...{.1,.Iclass_xt_iclass_xsr
25dc0 5f 65 70 73 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c _eps3_args,.....3,.Iclass_xt_icl
25de0 61 73 73 5f 78 73 72 5f 65 70 73 33 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a ass_xsr_eps3_stateArgs,.0,.0.},.
25e00 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 34 ..{.1,.Iclass_xt_iclass_rsr_eps4
25e20 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _args,.....3,.Iclass_xt_iclass_r
25e40 73 72 5f 65 70 73 34 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 sr_eps4_stateArgs,.0,.0.},...{.1
25e60 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 34 5f 61 72 67 73 ,.Iclass_xt_iclass_wsr_eps4_args
25e80 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 ,.....3,.Iclass_xt_iclass_wsr_ep
25ea0 73 34 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c s4_stateArgs,.0,.0.},...{.1,.Icl
25ec0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 5f 61 72 67 73 2c 0a 20 20 20 ass_xt_iclass_xsr_eps4_args,....
25ee0 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 5f 73 74 .3,.Iclass_xt_iclass_xsr_eps4_st
25f00 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.1,.Iclass_x
25f20 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 t_iclass_rsr_eps5_args,.....3,.I
25f40 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 class_xt_iclass_rsr_eps5_stateAr
25f60 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c gs,.0,.0.},...{.1,.Iclass_xt_icl
25f80 61 73 73 5f 77 73 72 5f 65 70 73 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 ass_wsr_eps5_args,.....3,.Iclass
25fa0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 _xt_iclass_wsr_eps5_stateArgs,.0
25fc0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 ,.0.},...{.1,.Iclass_xt_iclass_x
25fe0 73 72 5f 65 70 73 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 sr_eps5_args,.....3,.Iclass_xt_i
26000 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d class_xsr_eps5_stateArgs,.0,.0.}
26020 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 ,...{.1,.Iclass_xt_iclass_rsr_ep
26040 73 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 s6_args,.....3,.Iclass_xt_iclass
26060 5f 72 73 72 5f 65 70 73 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _rsr_eps6_stateArgs,.0,.0.},...{
26080 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 5f 61 72 .1,.Iclass_xt_iclass_wsr_eps6_ar
260a0 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f gs,.....3,.Iclass_xt_iclass_wsr_
260c0 65 70 73 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 eps6_stateArgs,.0,.0.},...{.1,.I
260e0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 5f 61 72 67 73 2c 0a 20 class_xt_iclass_xsr_eps6_args,..
26100 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 5f ...3,.Iclass_xt_iclass_xsr_eps6_
26120 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
26140 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c _xt_iclass_rsr_eps7_args,.....3,
26160 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 5f 73 74 61 74 65 .Iclass_xt_iclass_rsr_eps7_state
26180 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.1,.Iclass_xt_i
261a0 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 37 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 class_wsr_eps7_args,.....3,.Icla
261c0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 73 2c ss_xt_iclass_wsr_eps7_stateArgs,
261e0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
26200 5f 78 73 72 5f 65 70 73 37 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 _xsr_eps7_args,.....3,.Iclass_xt
26220 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 _iclass_xsr_eps7_stateArgs,.0,.0
26240 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f .},...{.1,.Iclass_xt_iclass_rsr_
26260 65 78 63 76 61 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f excvaddr_args,.....3,.Iclass_xt_
26280 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 iclass_rsr_excvaddr_stateArgs,.0
262a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 ,.0.},...{.1,.Iclass_xt_iclass_w
262c0 73 72 5f 65 78 63 76 61 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f sr_excvaddr_args,.....3,.Iclass_
262e0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 xt_iclass_wsr_excvaddr_stateArgs
26300 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
26320 73 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 s_xsr_excvaddr_args,.....3,.Icla
26340 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 65 41 ss_xt_iclass_xsr_excvaddr_stateA
26360 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
26380 6c 61 73 73 5f 72 73 72 5f 64 65 70 63 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 lass_rsr_depc_args,.....3,.Iclas
263a0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 65 70 63 5f 73 74 61 74 65 41 72 67 73 2c 20 s_xt_iclass_rsr_depc_stateArgs,.
263c0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
263e0 77 73 72 5f 64 65 70 63 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f wsr_depc_args,.....3,.Iclass_xt_
26400 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 70 63 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 iclass_wsr_depc_stateArgs,.0,.0.
26420 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 },...{.1,.Iclass_xt_iclass_xsr_d
26440 65 70 63 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 epc_args,.....3,.Iclass_xt_iclas
26460 73 5f 78 73 72 5f 64 65 70 63 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 s_xsr_depc_stateArgs,.0,.0.},...
26480 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 63 61 75 {.1,.Iclass_xt_iclass_rsr_exccau
264a0 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 se_args,.....4,.Iclass_xt_iclass
264c0 5f 72 73 72 5f 65 78 63 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c _rsr_exccause_stateArgs,.0,.0.},
264e0 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 ...{.1,.Iclass_xt_iclass_wsr_exc
26500 63 61 75 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c cause_args,.....3,.Iclass_xt_icl
26520 61 73 73 5f 77 73 72 5f 65 78 63 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ass_wsr_exccause_stateArgs,.0,.0
26540 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f .},...{.1,.Iclass_xt_iclass_xsr_
26560 65 78 63 63 61 75 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f exccause_args,.....3,.Iclass_xt_
26580 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 iclass_xsr_exccause_stateArgs,.0
265a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ,.0.},...{.1,.Iclass_xt_iclass_r
265c0 73 72 5f 6d 69 73 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f sr_misc0_args,.....3,.Iclass_xt_
265e0 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 iclass_rsr_misc0_stateArgs,.0,.0
26600 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f .},...{.1,.Iclass_xt_iclass_wsr_
26620 6d 69 73 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c misc0_args,.....3,.Iclass_xt_icl
26640 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c ass_wsr_misc0_stateArgs,.0,.0.},
26660 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 ...{.1,.Iclass_xt_iclass_xsr_mis
26680 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 c0_args,.....3,.Iclass_xt_iclass
266a0 5f 78 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 _xsr_misc0_stateArgs,.0,.0.},...
266c0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 31 5f {.1,.Iclass_xt_iclass_rsr_misc1_
266e0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....3,.Iclass_xt_iclass_rs
26700 72 5f 6d 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 r_misc1_stateArgs,.0,.0.},...{.1
26720 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 69 73 63 31 5f 61 72 67 ,.Iclass_xt_iclass_wsr_misc1_arg
26740 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d s,.....3,.Iclass_xt_iclass_wsr_m
26760 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 isc1_stateArgs,.0,.0.},...{.1,.I
26780 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 63 31 5f 61 72 67 73 2c 0a class_xt_iclass_xsr_misc1_args,.
267a0 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 63 ....3,.Iclass_xt_iclass_xsr_misc
267c0 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 1_stateArgs,.0,.0.},...{.1,.Icla
267e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 72 69 64 5f 61 72 67 73 2c 0a 20 20 20 20 ss_xt_iclass_rsr_prid_args,.....
26800 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 72 69 64 5f 73 74 61 2,.Iclass_xt_iclass_rsr_prid_sta
26820 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
26840 5f 69 63 6c 61 73 73 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c _iclass_rsr_vecbase_args,.....3,
26860 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 73 74 .Iclass_xt_iclass_rsr_vecbase_st
26880 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.1,.Iclass_x
268a0 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 t_iclass_wsr_vecbase_args,.....3
268c0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 73 ,.Iclass_xt_iclass_wsr_vecbase_s
268e0 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
26900 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 xt_iclass_xsr_vecbase_args,.....
26920 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 3,.Iclass_xt_iclass_xsr_vecbase_
26940 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.3,.Iclass
26960 5f 78 74 5f 6d 75 6c 31 36 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d _xt_mul16_args,.....0,.0,.0,.0.}
26980 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 6d 75 6c 33 32 5f 61 72 67 73 2c 0a 20 ,...{.3,.Iclass_xt_mul32_args,..
269a0 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.2,.Iclass_x
269c0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 t_iclass_mac16_aa_args,.....1,.I
269e0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 5f 73 74 61 74 65 41 72 class_xt_iclass_mac16_aa_stateAr
26a00 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c gs,.0,.0.},...{.2,.Iclass_xt_icl
26a20 61 73 73 5f 6d 61 63 31 36 5f 61 64 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 ass_mac16_ad_args,.....1,.Iclass
26a40 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 _xt_iclass_mac16_ad_stateArgs,.0
26a60 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d ,.0.},...{.2,.Iclass_xt_iclass_m
26a80 61 63 31 36 5f 64 61 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 ac16_da_args,.....1,.Iclass_xt_i
26aa0 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d class_mac16_da_stateArgs,.0,.0.}
26ac0 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f ,...{.2,.Iclass_xt_iclass_mac16_
26ae0 64 64 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 dd_args,.....1,.Iclass_xt_iclass
26b00 5f 6d 61 63 31 36 5f 64 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _mac16_dd_stateArgs,.0,.0.},...{
26b20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 5f 61 .2,.Iclass_xt_iclass_mac16a_aa_a
26b40 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 rgs,.....1,.Iclass_xt_iclass_mac
26b60 31 36 61 5f 61 61 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 16a_aa_stateArgs,.0,.0.},...{.2,
26b80 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 5f 61 72 67 73 .Iclass_xt_iclass_mac16a_ad_args
26ba0 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 ,.....1,.Iclass_xt_iclass_mac16a
26bc0 5f 61 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 _ad_stateArgs,.0,.0.},...{.2,.Ic
26be0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 61 5f 61 72 67 73 2c 0a 20 lass_xt_iclass_mac16a_da_args,..
26c00 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 61 ...1,.Iclass_xt_iclass_mac16a_da
26c20 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 _stateArgs,.0,.0.},...{.2,.Iclas
26c40 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 5f 61 72 67 73 2c 0a 20 20 20 20 s_xt_iclass_mac16a_dd_args,.....
26c60 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 5f 73 74 1,.Iclass_xt_iclass_mac16a_dd_st
26c80 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 34 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.4,.Iclass_x
26ca0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c t_iclass_mac16al_da_args,.....1,
26cc0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 5f 73 74 61 .Iclass_xt_iclass_mac16al_da_sta
26ce0 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.4,.Iclass_xt
26d00 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 _iclass_mac16al_dd_args,.....1,.
26d20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 5f 73 74 61 74 Iclass_xt_iclass_mac16al_dd_stat
26d40 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.2,.Iclass_xt_
26d60 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 6c 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 iclass_mac16_l_args,.....0,.0,.0
26d80 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ,.0.},...{.2,.Iclass_xt_iclass_r
26da0 73 72 5f 6d 30 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 sr_m0_args,.....0,.0,.0,.0.},...
26dc0 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 30 5f 61 72 67 {.2,.Iclass_xt_iclass_wsr_m0_arg
26de0 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 s,.....0,.0,.0,.0.},...{.2,.Icla
26e00 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 30 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c ss_xt_iclass_xsr_m0_args,.....0,
26e20 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c .0,.0,.0.},...{.2,.Iclass_xt_icl
26e40 61 73 73 5f 72 73 72 5f 6d 31 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 ass_rsr_m1_args,.....0,.0,.0,.0.
26e60 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d },...{.2,.Iclass_xt_iclass_wsr_m
26e80 31 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 1_args,.....0,.0,.0,.0.},...{.2,
26ea0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 31 5f 61 72 67 73 2c 0a 20 .Iclass_xt_iclass_xsr_m1_args,..
26ec0 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.2,.Iclass_x
26ee0 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 32 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 t_iclass_rsr_m2_args,.....0,.0,.
26f00 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.2,.Iclass_xt_iclass_
26f20 77 73 72 5f 6d 32 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 wsr_m2_args,.....0,.0,.0,.0.},..
26f40 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 32 5f 61 72 .{.2,.Iclass_xt_iclass_xsr_m2_ar
26f60 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c gs,.....0,.0,.0,.0.},...{.2,.Icl
26f80 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 33 5f 61 72 67 73 2c 0a 20 20 20 20 30 ass_xt_iclass_rsr_m3_args,.....0
26fa0 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 ,.0,.0,.0.},...{.2,.Iclass_xt_ic
26fc0 6c 61 73 73 5f 77 73 72 5f 6d 33 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 lass_wsr_m3_args,.....0,.0,.0,.0
26fe0 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f .},...{.2,.Iclass_xt_iclass_xsr_
27000 6d 33 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 m3_args,.....0,.0,.0,.0.},...{.1
27020 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 6c 6f 5f 61 72 67 ,.Iclass_xt_iclass_rsr_acclo_arg
27040 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 s,.....1,.Iclass_xt_iclass_rsr_a
27060 63 63 6c 6f 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 cclo_stateArgs,.0,.0.},...{.1,.I
27080 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 2c 0a class_xt_iclass_wsr_acclo_args,.
270a0 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c ....1,.Iclass_xt_iclass_wsr_accl
270c0 6f 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 o_stateArgs,.0,.0.},...{.1,.Icla
270e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 2c 0a 20 20 20 ss_xt_iclass_xsr_acclo_args,....
27100 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 5f 73 .1,.Iclass_xt_iclass_xsr_acclo_s
27120 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
27140 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c xt_iclass_rsr_acchi_args,.....1,
27160 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 5f 73 74 61 74 .Iclass_xt_iclass_rsr_acchi_stat
27180 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.1,.Iclass_xt_
271a0 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 iclass_wsr_acchi_args,.....1,.Ic
271c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 5f 73 74 61 74 65 41 72 lass_xt_iclass_wsr_acchi_stateAr
271e0 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c gs,.0,.0.},...{.1,.Iclass_xt_icl
27200 61 73 73 5f 78 73 72 5f 61 63 63 68 69 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 ass_xsr_acchi_args,.....1,.Iclas
27220 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 68 69 5f 73 74 61 74 65 41 72 67 73 2c s_xt_iclass_xsr_acchi_stateArgs,
27240 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
27260 5f 72 66 69 5f 61 72 67 73 2c 0a 20 20 20 20 32 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c _rfi_args,.....21,.Iclass_xt_icl
27280 61 73 73 5f 72 66 69 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 ass_rfi_stateArgs,.0,.0.},...{.1
272a0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 74 5f 61 72 67 73 2c 0a 20 20 ,.Iclass_xt_iclass_wait_args,...
272c0 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 74 5f 73 74 61 74 65 ..3,.Iclass_xt_iclass_wait_state
272e0 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.1,.Iclass_xt_i
27300 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c class_rsr_interrupt_args,.....3,
27320 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 5f .Iclass_xt_iclass_rsr_interrupt_
27340 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
27360 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 73 65 74 5f 61 72 67 73 2c 0a 20 20 20 20 _xt_iclass_wsr_intset_args,.....
27380 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 73 65 74 5f 73 4,.Iclass_xt_iclass_wsr_intset_s
273a0 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
273c0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 61 72 67 73 2c 0a 20 20 20 xt_iclass_wsr_intclear_args,....
273e0 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 .4,.Iclass_xt_iclass_wsr_intclea
27400 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 r_stateArgs,.0,.0.},...{.1,.Icla
27420 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 61 72 67 73 2c ss_xt_iclass_rsr_intenable_args,
27440 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 .....3,.Iclass_xt_iclass_rsr_int
27460 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c enable_stateArgs,.0,.0.},...{.1,
27480 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f .Iclass_xt_iclass_wsr_intenable_
274a0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 args,.....3,.Iclass_xt_iclass_ws
274c0 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 r_intenable_stateArgs,.0,.0.},..
274e0 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 6e 74 65 6e .{.1,.Iclass_xt_iclass_xsr_inten
27500 61 62 6c 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 able_args,.....3,.Iclass_xt_icla
27520 73 73 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ss_xsr_intenable_stateArgs,.0,.0
27540 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 .},...{.2,.Iclass_xt_iclass_brea
27560 6b 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f k_args,.....2,.Iclass_xt_iclass_
27580 62 72 65 61 6b 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 break_stateArgs,.0,.0.},...{.1,.
275a0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 6b 5f 6e 5f 61 72 67 73 2c 0a 20 Iclass_xt_iclass_break_n_args,..
275c0 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 6b 5f 6e 5f 73 ...2,.Iclass_xt_iclass_break_n_s
275e0 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
27600 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 72 67 73 2c 0a 20 20 20 xt_iclass_rsr_dbreaka0_args,....
27620 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 .3,.Iclass_xt_iclass_rsr_dbreaka
27640 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 0_stateArgs,.0,.0.},...{.1,.Icla
27660 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 72 67 73 2c 0a ss_xt_iclass_wsr_dbreaka0_args,.
27680 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 ....4,.Iclass_xt_iclass_wsr_dbre
276a0 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 aka0_stateArgs,.0,.0.},...{.1,.I
276c0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 72 67 class_xt_iclass_xsr_dbreaka0_arg
276e0 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 s,.....4,.Iclass_xt_iclass_xsr_d
27700 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 breaka0_stateArgs,.0,.0.},...{.1
27720 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 5f ,.Iclass_xt_iclass_rsr_dbreakc0_
27740 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....3,.Iclass_xt_iclass_rs
27760 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_dbreakc0_stateArgs,.0,.0.},...
27780 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b {.1,.Iclass_xt_iclass_wsr_dbreak
277a0 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 c0_args,.....4,.Iclass_xt_iclass
277c0 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c _wsr_dbreakc0_stateArgs,.0,.0.},
277e0 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 ...{.1,.Iclass_xt_iclass_xsr_dbr
27800 65 61 6b 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c eakc0_args,.....4,.Iclass_xt_icl
27820 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ass_xsr_dbreakc0_stateArgs,.0,.0
27840 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f .},...{.1,.Iclass_xt_iclass_rsr_
27860 64 62 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f dbreaka1_args,.....3,.Iclass_xt_
27880 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 iclass_rsr_dbreaka1_stateArgs,.0
278a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 ,.0.},...{.1,.Iclass_xt_iclass_w
278c0 73 72 5f 64 62 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f sr_dbreaka1_args,.....4,.Iclass_
278e0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 xt_iclass_wsr_dbreaka1_stateArgs
27900 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
27920 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 s_xsr_dbreaka1_args,.....4,.Icla
27940 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 ss_xt_iclass_xsr_dbreaka1_stateA
27960 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
27980 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 lass_rsr_dbreakc1_args,.....3,.I
279a0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 73 74 61 class_xt_iclass_rsr_dbreakc1_sta
279c0 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
279e0 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 2c 0a 20 20 20 20 34 _iclass_wsr_dbreakc1_args,.....4
27a00 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f ,.Iclass_xt_iclass_wsr_dbreakc1_
27a20 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
27a40 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 2c 0a 20 20 _xt_iclass_xsr_dbreakc1_args,...
27a60 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b ..4,.Iclass_xt_iclass_xsr_dbreak
27a80 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c c1_stateArgs,.0,.0.},...{.1,.Icl
27aa0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 61 72 67 73 2c ass_xt_iclass_rsr_ibreaka0_args,
27ac0 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 .....3,.Iclass_xt_iclass_rsr_ibr
27ae0 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 eaka0_stateArgs,.0,.0.},...{.1,.
27b00 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 5f 61 72 Iclass_xt_iclass_wsr_ibreaka0_ar
27b20 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f gs,.....3,.Iclass_xt_iclass_wsr_
27b40 69 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ibreaka0_stateArgs,.0,.0.},...{.
27b60 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 1,.Iclass_xt_iclass_xsr_ibreaka0
27b80 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _args,.....3,.Iclass_xt_iclass_x
27ba0 73 72 5f 69 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 sr_ibreaka0_stateArgs,.0,.0.},..
27bc0 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 .{.1,.Iclass_xt_iclass_rsr_ibrea
27be0 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ka1_args,.....3,.Iclass_xt_iclas
27c00 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d s_rsr_ibreaka1_stateArgs,.0,.0.}
27c20 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 ,...{.1,.Iclass_xt_iclass_wsr_ib
27c40 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 reaka1_args,.....3,.Iclass_xt_ic
27c60 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 lass_wsr_ibreaka1_stateArgs,.0,.
27c80 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 0.},...{.1,.Iclass_xt_iclass_xsr
27ca0 5f 69 62 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 _ibreaka1_args,.....3,.Iclass_xt
27cc0 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 2c 20 _iclass_xsr_ibreaka1_stateArgs,.
27ce0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
27d00 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 rsr_ibreakenable_args,.....3,.Ic
27d20 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f lass_xt_iclass_rsr_ibreakenable_
27d40 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
27d60 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 61 72 67 73 _xt_iclass_wsr_ibreakenable_args
27d80 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 ,.....3,.Iclass_xt_iclass_wsr_ib
27da0 72 65 61 6b 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 reakenable_stateArgs,.0,.0.},...
27dc0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b {.1,.Iclass_xt_iclass_xsr_ibreak
27de0 65 6e 61 62 6c 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 enable_args,.....3,.Iclass_xt_ic
27e00 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c lass_xsr_ibreakenable_stateArgs,
27e20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
27e40 5f 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c _rsr_debugcause_args,.....4,.Icl
27e60 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 73 74 61 ass_xt_iclass_rsr_debugcause_sta
27e80 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
27ea0 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 67 73 2c 0a 20 20 20 _iclass_wsr_debugcause_args,....
27ec0 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 .4,.Iclass_xt_iclass_wsr_debugca
27ee0 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 use_stateArgs,.0,.0.},...{.1,.Ic
27f00 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 lass_xt_iclass_xsr_debugcause_ar
27f20 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f gs,.....4,.Iclass_xt_iclass_xsr_
27f40 64 65 62 75 67 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 debugcause_stateArgs,.0,.0.},...
27f60 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 63 6f 75 6e 74 {.1,.Iclass_xt_iclass_rsr_icount
27f80 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _args,.....3,.Iclass_xt_iclass_r
27fa0 73 72 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b sr_icount_stateArgs,.0,.0.},...{
27fc0 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 5f .1,.Iclass_xt_iclass_wsr_icount_
27fe0 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 args,.....4,.Iclass_xt_iclass_ws
28000 72 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 r_icount_stateArgs,.0,.0.},...{.
28020 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 5f 61 1,.Iclass_xt_iclass_xsr_icount_a
28040 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rgs,.....4,.Iclass_xt_iclass_xsr
28060 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 _icount_stateArgs,.0,.0.},...{.1
28080 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 ,.Iclass_xt_iclass_rsr_icountlev
280a0 65 6c 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 el_args,.....3,.Iclass_xt_iclass
280c0 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 _rsr_icountlevel_stateArgs,.0,.0
280e0 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f .},...{.1,.Iclass_xt_iclass_wsr_
28100 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f icountlevel_args,.....3,.Iclass_
28120 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 73 74 61 74 65 41 xt_iclass_wsr_icountlevel_stateA
28140 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
28160 6c 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 73 2c 0a 20 20 20 20 33 lass_xsr_icountlevel_args,.....3
28180 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 ,.Iclass_xt_iclass_xsr_icountlev
281a0 65 6c 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c el_stateArgs,.0,.0.},...{.1,.Icl
281c0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 ass_xt_iclass_rsr_ddr_args,.....
281e0 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 5f 73 74 61 74 3,.Iclass_xt_iclass_rsr_ddr_stat
28200 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.1,.Iclass_xt_
28220 69 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 iclass_wsr_ddr_args,.....4,.Icla
28240 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 ss_xt_iclass_wsr_ddr_stateArgs,.
28260 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
28280 78 73 72 5f 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 xsr_ddr_args,.....4,.Iclass_xt_i
282a0 63 6c 61 73 73 5f 78 73 72 5f 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c class_xsr_ddr_stateArgs,.0,.0.},
282c0 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 6f 5f 61 72 ...{.1,.Iclass_xt_iclass_rfdo_ar
282e0 67 73 2c 0a 20 20 20 20 31 30 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 gs,.....10,.Iclass_xt_iclass_rfd
28300 6f 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a o_stateArgs,.0,.0.},...{.0,.0./*
28320 20 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 20 2a 2f 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 .xt_iclass_rfdd.*/,.....1,.Iclas
28340 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 s_xt_iclass_rfdd_stateArgs,.0,.0
28360 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f .},...{.1,.Iclass_xt_iclass_wsr_
28380 6d 6d 69 64 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 mmid_args,.....3,.Iclass_xt_icla
283a0 73 73 5f 77 73 72 5f 6d 6d 69 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 ss_wsr_mmid_stateArgs,.0,.0.},..
283c0 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 75 6e .{.1,.Iclass_xt_iclass_rsr_ccoun
283e0 74 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f t_args,.....3,.Iclass_xt_iclass_
28400 72 73 72 5f 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 rsr_ccount_stateArgs,.0,.0.},...
28420 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 75 6e 74 {.1,.Iclass_xt_iclass_wsr_ccount
28440 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _args,.....4,.Iclass_xt_iclass_w
28460 73 72 5f 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b sr_ccount_stateArgs,.0,.0.},...{
28480 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 75 6e 74 5f .1,.Iclass_xt_iclass_xsr_ccount_
284a0 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 args,.....4,.Iclass_xt_iclass_xs
284c0 72 5f 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 r_ccount_stateArgs,.0,.0.},...{.
284e0 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 1,.Iclass_xt_iclass_rsr_ccompare
28500 30 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0_args,.....3,.Iclass_xt_iclass_
28520 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c rsr_ccompare0_stateArgs,.0,.0.},
28540 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f ...{.1,.Iclass_xt_iclass_wsr_cco
28560 6d 70 61 72 65 30 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 mpare0_args,.....4,.Iclass_xt_ic
28580 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c lass_wsr_ccompare0_stateArgs,.0,
285a0 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 .0.},...{.1,.Iclass_xt_iclass_xs
285c0 72 5f 63 63 6f 6d 70 61 72 65 30 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f r_ccompare0_args,.....4,.Iclass_
285e0 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 xt_iclass_xsr_ccompare0_stateArg
28600 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 s,.0,.0.},...{.1,.Iclass_xt_icla
28620 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 ss_rsr_ccompare1_args,.....3,.Ic
28640 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 73 74 61 lass_xt_iclass_rsr_ccompare1_sta
28660 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
28680 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 _iclass_wsr_ccompare1_args,.....
286a0 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 4,.Iclass_xt_iclass_wsr_ccompare
286c0 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 1_stateArgs,.0,.0.},...{.1,.Icla
286e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 2c ss_xt_iclass_xsr_ccompare1_args,
28700 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f .....4,.Iclass_xt_iclass_xsr_cco
28720 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c mpare1_stateArgs,.0,.0.},...{.1,
28740 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f .Iclass_xt_iclass_rsr_ccompare2_
28760 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....3,.Iclass_xt_iclass_rs
28780 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 r_ccompare2_stateArgs,.0,.0.},..
287a0 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 .{.1,.Iclass_xt_iclass_wsr_ccomp
287c0 61 72 65 32 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 are2_args,.....4,.Iclass_xt_icla
287e0 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ss_wsr_ccompare2_stateArgs,.0,.0
28800 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f .},...{.1,.Iclass_xt_iclass_xsr_
28820 63 63 6f 6d 70 61 72 65 32 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 ccompare2_args,.....4,.Iclass_xt
28840 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 67 73 2c _iclass_xsr_ccompare2_stateArgs,
28860 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.2,.Iclass_xt_iclass
28880 5f 69 63 61 63 68 65 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a _icache_args,.....0,.0,.0,.0.},.
288a0 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f 6c ..{.2,.Iclass_xt_iclass_icache_l
288c0 6f 63 6b 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ock_args,.....2,.Iclass_xt_iclas
288e0 73 5f 69 63 61 63 68 65 5f 6c 6f 63 6b 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c s_icache_lock_stateArgs,.0,.0.},
28900 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f ...{.2,.Iclass_xt_iclass_icache_
28920 69 6e 76 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 inv_args,.....2,.Iclass_xt_iclas
28940 73 5f 69 63 61 63 68 65 5f 69 6e 76 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a s_icache_inv_stateArgs,.0,.0.},.
28960 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 63 78 5f 61 72 67 ..{.2,.Iclass_xt_iclass_licx_arg
28980 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 63 78 5f s,.....2,.Iclass_xt_iclass_licx_
289a0 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.2,.Iclass
289c0 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c _xt_iclass_sicx_args,.....2,.Icl
289e0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c ass_xt_iclass_sicx_stateArgs,.0,
28a00 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 .0.},...{.2,.Iclass_xt_iclass_dc
28a20 61 63 68 65 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b ache_args,.....0,.0,.0,.0.},...{
28a40 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 64 79 6e 5f .1,.Iclass_xt_iclass_dcache_dyn_
28a60 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 args,.....2,.Iclass_xt_iclass_dc
28a80 61 63 68 65 5f 64 79 6e 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ache_dyn_stateArgs,.0,.0.},...{.
28aa0 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 5f 61 2,.Iclass_xt_iclass_dcache_ind_a
28ac0 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 rgs,.....2,.Iclass_xt_iclass_dca
28ae0 63 68 65 5f 69 6e 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 che_ind_stateArgs,.0,.0.},...{.2
28b00 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 76 5f 61 72 ,.Iclass_xt_iclass_dcache_inv_ar
28b20 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 gs,.....2,.Iclass_xt_iclass_dcac
28b40 68 65 5f 69 6e 76 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c he_inv_stateArgs,.0,.0.},...{.2,
28b60 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 70 66 5f 61 72 67 73 2c 0a 20 20 20 20 .Iclass_xt_iclass_dpf_args,.....
28b80 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 0,.0,.0,.0.},...{.2,.Iclass_xt_i
28ba0 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c 6f 63 6b 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 class_dcache_lock_args,.....2,.I
28bc0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c 6f 63 6b 5f 73 74 61 74 class_xt_iclass_dcache_lock_stat
28be0 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.2,.Iclass_xt_
28c00 69 63 6c 61 73 73 5f 73 64 63 74 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f iclass_sdct_args,.....2,.Iclass_
28c20 78 74 5f 69 63 6c 61 73 73 5f 73 64 63 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d xt_iclass_sdct_stateArgs,.0,.0.}
28c40 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 74 5f 61 ,...{.2,.Iclass_xt_iclass_ldct_a
28c60 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 rgs,.....2,.Iclass_xt_iclass_ldc
28c80 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 t_stateArgs,.0,.0.},...{.1,.Icla
28ca0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 61 72 67 73 2c 0a ss_xt_iclass_wsr_ptevaddr_args,.
28cc0 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 ....4,.Iclass_xt_iclass_wsr_ptev
28ce0 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 addr_stateArgs,.0,.0.},...{.1,.I
28d00 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 61 72 67 class_xt_iclass_rsr_ptevaddr_arg
28d20 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 s,.....4,.Iclass_xt_iclass_rsr_p
28d40 74 65 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 tevaddr_stateArgs,.0,.0.},...{.1
28d60 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 72 5f ,.Iclass_xt_iclass_xsr_ptevaddr_
28d80 61 72 67 73 2c 0a 20 20 20 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 args,.....5,.Iclass_xt_iclass_xs
28da0 72 5f 70 74 65 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_ptevaddr_stateArgs,.0,.0.},...
28dc0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 5f {.1,.Iclass_xt_iclass_rsr_rasid_
28de0 61 72 67 73 2c 0a 20 20 20 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....5,.Iclass_xt_iclass_rs
28e00 72 5f 72 61 73 69 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 r_rasid_stateArgs,.0,.0.},...{.1
28e20 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 5f 61 72 67 ,.Iclass_xt_iclass_wsr_rasid_arg
28e40 73 2c 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 s,.....6,.Iclass_xt_iclass_wsr_r
28e60 61 73 69 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 asid_stateArgs,.0,.0.},...{.1,.I
28e80 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 72 61 73 69 64 5f 61 72 67 73 2c 0a class_xt_iclass_xsr_rasid_args,.
28ea0 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 72 61 73 69 ....6,.Iclass_xt_iclass_xsr_rasi
28ec0 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 d_stateArgs,.0,.0.},...{.1,.Icla
28ee0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 2c 0a 20 ss_xt_iclass_rsr_itlbcfg_args,..
28f00 20 20 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 ...5,.Iclass_xt_iclass_rsr_itlbc
28f20 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c fg_stateArgs,.0,.0.},...{.1,.Icl
28f40 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 2c 0a ass_xt_iclass_wsr_itlbcfg_args,.
28f60 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 74 6c 62 ....6,.Iclass_xt_iclass_wsr_itlb
28f80 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 cfg_stateArgs,.0,.0.},...{.1,.Ic
28fa0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 2c lass_xt_iclass_xsr_itlbcfg_args,
28fc0 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c .....6,.Iclass_xt_iclass_xsr_itl
28fe0 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 bcfg_stateArgs,.0,.0.},...{.1,.I
29000 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 67 73 class_xt_iclass_rsr_dtlbcfg_args
29020 2c 0a 20 20 20 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 ,.....5,.Iclass_xt_iclass_rsr_dt
29040 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 lbcfg_stateArgs,.0,.0.},...{.1,.
29060 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 67 Iclass_xt_iclass_wsr_dtlbcfg_arg
29080 73 2c 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 s,.....6,.Iclass_xt_iclass_wsr_d
290a0 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c tlbcfg_stateArgs,.0,.0.},...{.1,
290c0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 .Iclass_xt_iclass_xsr_dtlbcfg_ar
290e0 67 73 2c 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f gs,.....6,.Iclass_xt_iclass_xsr_
29100 64 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 dtlbcfg_stateArgs,.0,.0.},...{.1
29120 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 5f 61 72 67 73 2c 0a 20 ,.Iclass_xt_iclass_idtlb_args,..
29140 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 5f 73 74 61 ...3,.Iclass_xt_iclass_idtlb_sta
29160 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.2,.Iclass_xt
29180 5f 69 63 6c 61 73 73 5f 72 64 74 6c 62 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 _iclass_rdtlb_args,.....2,.Iclas
291a0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 64 74 6c 62 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 s_xt_iclass_rdtlb_stateArgs,.0,.
291c0 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 64 74 0.},...{.2,.Iclass_xt_iclass_wdt
291e0 6c 62 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 lb_args,.....3,.Iclass_xt_iclass
29200 5f 77 64 74 6c 62 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c _wdtlb_stateArgs,.0,.0.},...{.1,
29220 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 5f 61 72 67 73 2c 0a 20 20 .Iclass_xt_iclass_iitlb_args,...
29240 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 5f 73 74 61 74 ..2,.Iclass_xt_iclass_iitlb_stat
29260 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.2,.Iclass_xt_
29280 69 63 6c 61 73 73 5f 72 69 74 6c 62 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 iclass_ritlb_args,.....2,.Iclass
292a0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 _xt_iclass_ritlb_stateArgs,.0,.0
292c0 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 69 74 6c .},...{.2,.Iclass_xt_iclass_witl
292e0 62 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f b_args,.....2,.Iclass_xt_iclass_
29300 77 69 74 6c 62 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 witlb_stateArgs,.0,.0.},...{.0,.
29320 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 6c 64 70 74 65 20 2a 2f 2c 0a 20 20 20 20 32 2c 20 0./*.xt_iclass_ldpte.*/,.....2,.
29340 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 70 74 65 5f 73 74 61 74 65 41 72 67 73 Iclass_xt_iclass_ldpte_stateArgs
29360 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f ,.0,.0.},...{.0,.0./*.xt_iclass_
29380 68 77 77 69 74 6c 62 61 20 2a 2f 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 hwwitlba.*/,.....1,.Iclass_xt_ic
293a0 6c 61 73 73 5f 68 77 77 69 74 6c 62 61 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c lass_hwwitlba_stateArgs,.0,.0.},
293c0 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 68 77 77 64 74 6c 62 61 20 ...{.0,.0./*.xt_iclass_hwwdtlba.
293e0 2a 2f 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 68 77 77 64 */,.....1,.Iclass_xt_iclass_hwwd
29400 74 6c 62 61 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 tlba_stateArgs,.0,.0.},...{.1,.I
29420 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 61 72 67 class_xt_iclass_rsr_cpenable_arg
29440 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 s,.....3,.Iclass_xt_iclass_rsr_c
29460 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 penable_stateArgs,.0,.0.},...{.1
29480 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 70 65 6e 61 62 6c 65 5f ,.Iclass_xt_iclass_wsr_cpenable_
294a0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 args,.....3,.Iclass_xt_iclass_ws
294c0 72 5f 63 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_cpenable_stateArgs,.0,.0.},...
294e0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 70 65 6e 61 62 {.1,.Iclass_xt_iclass_xsr_cpenab
29500 6c 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 le_args,.....3,.Iclass_xt_iclass
29520 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c _xsr_cpenable_stateArgs,.0,.0.},
29540 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 6c 61 6d 70 5f 61 ...{.3,.Iclass_xt_iclass_clamp_a
29560 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 rgs,.....0,.0,.0,.0.},...{.3,.Ic
29580 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d 61 78 5f 61 72 67 73 2c 0a 20 20 20 20 lass_xt_iclass_minmax_args,.....
295a0 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 0,.0,.0,.0.},...{.2,.Iclass_xt_i
295c0 63 6c 61 73 73 5f 6e 73 61 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d class_nsa_args,.....0,.0,.0,.0.}
295e0 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 78 5f 61 72 67 ,...{.3,.Iclass_xt_iclass_sx_arg
29600 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 s,.....0,.0,.0,.0.},...{.3,.Icla
29620 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 61 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 ss_xt_iclass_l32ai_args,.....0,.
29640 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 0,.0,.0.},...{.3,.Iclass_xt_icla
29660 73 73 5f 73 33 32 72 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c ss_s32ri_args,.....0,.0,.0,.0.},
29680 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 63 31 69 5f ...{.3,.Iclass_xt_iclass_s32c1i_
296a0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 args,.....3,.Iclass_xt_iclass_s3
296c0 32 63 31 69 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 2c1i_stateArgs,.0,.0.},...{.1,.I
296e0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 61 72 class_xt_iclass_rsr_scompare1_ar
29700 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f gs,.....1,.Iclass_xt_iclass_rsr_
29720 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b scompare1_stateArgs,.0,.0.},...{
29740 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f 6d 70 61 72 .1,.Iclass_xt_iclass_wsr_scompar
29760 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 e1_args,.....1,.Iclass_xt_iclass
29780 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d _wsr_scompare1_stateArgs,.0,.0.}
297a0 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 63 ,...{.1,.Iclass_xt_iclass_xsr_sc
297c0 6f 6d 70 61 72 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 ompare1_args,.....1,.Iclass_xt_i
297e0 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 class_xsr_scompare1_stateArgs,.0
29800 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ,.0.},...{.1,.Iclass_xt_iclass_r
29820 73 72 5f 61 74 6f 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 sr_atomctl_args,.....3,.Iclass_x
29840 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 2c 20 t_iclass_rsr_atomctl_stateArgs,.
29860 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
29880 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f wsr_atomctl_args,.....4,.Iclass_
298a0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 2c xt_iclass_wsr_atomctl_stateArgs,
298c0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
298e0 5f 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 _xsr_atomctl_args,.....4,.Iclass
29900 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 _xt_iclass_xsr_atomctl_stateArgs
29920 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.3,.Iclass_xt_iclas
29940 73 5f 64 69 76 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 s_div_args,.....0,.0,.0,.0.},...
29960 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 5f 61 72 67 73 2c 0a {.2,.Iclass_xt_iclass_rer_args,.
29980 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 5f 73 74 61 74 ....2,.Iclass_xt_iclass_rer_stat
299a0 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.2,.Iclass_xt_
299c0 69 63 6c 61 73 73 5f 77 65 72 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 iclass_wer_args,.....2,.Iclass_x
299e0 74 5f 69 63 6c 61 73 73 5f 77 65 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a t_iclass_wer_stateArgs,.0,.0.},.
29a00 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 61 72 67 73 2c ..{.1,.Iclass_rur_expstate_args,
29a20 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 73 74 61 74 .....2,.Iclass_rur_expstate_stat
29a40 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 77 75 72 eArgs,.0,.0.},...{.1,.Iclass_wur
29a60 5f 65 78 70 73 74 61 74 65 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 77 75 _expstate_args,.....2,.Iclass_wu
29a80 72 5f 65 78 70 73 74 61 74 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_expstate_stateArgs,.0,.0.},...
29aa0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f {.1,.Iclass_iclass_READ_IMPWIRE_
29ac0 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 52 45 41 44 5f args,.....1,.Iclass_iclass_READ_
29ae0 49 4d 50 57 49 52 45 5f 73 74 61 74 65 41 72 67 73 2c 20 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c IMPWIRE_stateArgs,.1,.Iclass_icl
29b00 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f 69 6e 74 66 41 72 67 73 20 7d 2c 0a 20 20 7b ass_READ_IMPWIRE_intfArgs.},...{
29b20 20 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 5f .1,.Iclass_iclass_SETB_EXPSTATE_
29b40 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 53 45 54 42 5f args,.....2,.Iclass_iclass_SETB_
29b60 45 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 EXPSTATE_stateArgs,.0,.0.},...{.
29b80 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 43 4c 52 42 5f 45 58 50 53 54 41 54 45 5f 61 1,.Iclass_iclass_CLRB_EXPSTATE_a
29ba0 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 43 4c 52 42 5f 45 rgs,.....2,.Iclass_iclass_CLRB_E
29bc0 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 XPSTATE_stateArgs,.0,.0.},...{.2
29be0 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 5f 61 ,.Iclass_iclass_WRMSK_EXPSTATE_a
29c00 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 57 52 4d 53 4b 5f rgs,.....2,.Iclass_iclass_WRMSK_
29c20 45 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 0a 7d 3b 0a 0a 65 EXPSTATE_stateArgs,.0,.0.}.};..e
29c40 6e 75 6d 20 78 74 65 6e 73 61 5f 69 63 6c 61 73 73 5f 69 64 20 7b 0a 20 20 49 43 4c 41 53 53 5f num.xtensa_iclass_id.{...ICLASS_
29c60 78 74 5f 69 63 6c 61 73 73 5f 65 78 63 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 xt_iclass_excw,...ICLASS_xt_icla
29c80 73 73 5f 72 66 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 65 2c ss_rfe,...ICLASS_xt_iclass_rfde,
29ca0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 73 63 61 6c 6c 2c 0a 20 20 49 ...ICLASS_xt_iclass_syscall,...I
29cc0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 2c 0a 20 20 49 43 4c 41 53 53 CLASS_xt_iclass_call12,...ICLASS
29ce0 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 38 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 _xt_iclass_call8,...ICLASS_xt_ic
29d00 6c 61 73 73 5f 63 61 6c 6c 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 lass_call4,...ICLASS_xt_iclass_c
29d20 61 6c 6c 78 31 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 allx12,...ICLASS_xt_iclass_callx
29d40 38 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 34 2c 0a 20 20 8,...ICLASS_xt_iclass_callx4,...
29d60 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 65 6e 74 72 79 2c 0a 20 20 49 43 4c 41 53 53 ICLASS_xt_iclass_entry,...ICLASS
29d80 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 73 70 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 _xt_iclass_movsp,...ICLASS_xt_ic
29da0 6c 61 73 73 5f 72 6f 74 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 lass_rotw,...ICLASS_xt_iclass_re
29dc0 74 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 77 6f 75 2c 0a 20 20 tw,...ICLASS_xt_iclass_rfwou,...
29de0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 2c 0a 20 20 49 43 4c 41 53 53 5f ICLASS_xt_iclass_l32e,...ICLASS_
29e00 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 xt_iclass_s32e,...ICLASS_xt_icla
29e20 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 ss_rsr_windowbase,...ICLASS_xt_i
29e40 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 class_wsr_windowbase,...ICLASS_x
29e60 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 t_iclass_xsr_windowbase,...ICLAS
29e80 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 2c 0a 20 20 49 S_xt_iclass_rsr_windowstart,...I
29ea0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 2c CLASS_xt_iclass_wsr_windowstart,
29ec0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 ...ICLASS_xt_iclass_xsr_windowst
29ee0 61 72 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 5f 6e 2c 0a 20 art,...ICLASS_xt_iclass_add_n,..
29f00 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 5f 6e 2c 0a 20 20 49 43 4c 41 .ICLASS_xt_iclass_addi_n,...ICLA
29f20 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 7a 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 SS_xt_iclass_bz6,...ICLASS_xt_ic
29f40 6c 61 73 73 5f 69 6c 6c 5f 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c lass_ill_n,...ICLASS_xt_iclass_l
29f60 6f 61 64 69 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 5f 6e 2c oadi4,...ICLASS_xt_iclass_mov_n,
29f80 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 69 5f 6e 2c 0a 20 20 49 43 ...ICLASS_xt_iclass_movi_n,...IC
29fa0 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 LASS_xt_iclass_nopn,...ICLASS_xt
29fc0 5f 69 63 6c 61 73 73 5f 72 65 74 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 _iclass_retn,...ICLASS_xt_iclass
29fe0 5f 73 74 6f 72 65 69 34 2c 0a 20 20 49 43 4c 41 53 53 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 _storei4,...ICLASS_rur_threadptr
2a000 2c 0a 20 20 49 43 4c 41 53 53 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 2c 0a 20 20 49 43 4c 41 ,...ICLASS_wur_threadptr,...ICLA
2a020 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 SS_xt_iclass_addi,...ICLASS_xt_i
2a040 63 6c 61 73 73 5f 61 64 64 6d 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f class_addmi,...ICLASS_xt_iclass_
2a060 61 64 64 73 75 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 69 74 2c 0a addsub,...ICLASS_xt_iclass_bit,.
2a080 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 2c 0a 20 20 49 43 4c 41 53 ..ICLASS_xt_iclass_bsi8,...ICLAS
2a0a0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 S_xt_iclass_bsi8b,...ICLASS_xt_i
2a0c0 63 6c 61 73 73 5f 62 73 69 38 75 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f class_bsi8u,...ICLASS_xt_iclass_
2a0e0 62 73 74 38 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 2c 0a bst8,...ICLASS_xt_iclass_bsz12,.
2a100 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 30 2c 0a 20 20 49 43 4c 41 ..ICLASS_xt_iclass_call0,...ICLA
2a120 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 SS_xt_iclass_callx0,...ICLASS_xt
2a140 5f 69 63 6c 61 73 73 5f 65 78 74 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 _iclass_exti,...ICLASS_xt_iclass
2a160 5f 69 6c 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 2c 0a 20 _ill,...ICLASS_xt_iclass_jump,..
2a180 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 78 2c 0a 20 20 49 43 4c 41 53 .ICLASS_xt_iclass_jumpx,...ICLAS
2a1a0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 36 75 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 S_xt_iclass_l16ui,...ICLASS_xt_i
2a1c0 63 6c 61 73 73 5f 6c 31 36 73 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f class_l16si,...ICLASS_xt_iclass_
2a1e0 6c 33 32 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 72 2c 0a 20 l32i,...ICLASS_xt_iclass_l32r,..
2a200 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 38 69 2c 0a 20 20 49 43 4c 41 53 53 5f .ICLASS_xt_iclass_l8i,...ICLASS_
2a220 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 xt_iclass_loop,...ICLASS_xt_icla
2a240 73 73 5f 6c 6f 6f 70 7a 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 ss_loopz,...ICLASS_xt_iclass_mov
2a260 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 20 49 43 i,...ICLASS_xt_iclass_movz,...IC
2a280 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 65 67 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f LASS_xt_iclass_neg,...ICLASS_xt_
2a2a0 69 63 6c 61 73 73 5f 6e 6f 70 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 iclass_nop,...ICLASS_xt_iclass_r
2a2c0 65 74 75 72 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 6d 63 61 6c eturn,...ICLASS_xt_iclass_simcal
2a2e0 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 31 36 69 2c 0a 20 20 49 43 l,...ICLASS_xt_iclass_s16i,...IC
2a300 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 LASS_xt_iclass_s32i,...ICLASS_xt
2a320 5f 69 63 6c 61 73 73 5f 73 33 32 6e 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 _iclass_s32nb,...ICLASS_xt_iclas
2a340 73 5f 73 38 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 2c 0a 20 s_s8i,...ICLASS_xt_iclass_sar,..
2a360 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 2c 0a 20 20 49 43 4c 41 53 53 .ICLASS_xt_iclass_sari,...ICLASS
2a380 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 _xt_iclass_shifts,...ICLASS_xt_i
2a3a0 63 6c 61 73 73 5f 73 68 69 66 74 73 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 class_shiftst,...ICLASS_xt_iclas
2a3c0 73 5f 73 68 69 66 74 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 6c 6c s_shiftt,...ICLASS_xt_iclass_sll
2a3e0 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 61 69 2c 0a 20 20 49 43 i,...ICLASS_xt_iclass_srai,...IC
2a400 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 6c 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 LASS_xt_iclass_srli,...ICLASS_xt
2a420 5f 69 63 6c 61 73 73 5f 6d 65 6d 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 _iclass_memw,...ICLASS_xt_iclass
2a440 5f 65 78 74 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 73 79 6e 63 2c _extw,...ICLASS_xt_iclass_isync,
2a460 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 2c 0a 20 20 49 43 4c 41 ...ICLASS_xt_iclass_sync,...ICLA
2a480 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 SS_xt_iclass_rsil,...ICLASS_xt_i
2a4a0 63 6c 61 73 73 5f 72 73 72 5f 6c 65 6e 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 class_rsr_lend,...ICLASS_xt_icla
2a4c0 73 73 5f 77 73 72 5f 6c 65 6e 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ss_wsr_lend,...ICLASS_xt_iclass_
2a4e0 78 73 72 5f 6c 65 6e 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 xsr_lend,...ICLASS_xt_iclass_rsr
2a500 5f 6c 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f _lcount,...ICLASS_xt_iclass_wsr_
2a520 6c 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c lcount,...ICLASS_xt_iclass_xsr_l
2a540 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 count,...ICLASS_xt_iclass_rsr_lb
2a560 65 67 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 62 65 67 2c eg,...ICLASS_xt_iclass_wsr_lbeg,
2a580 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 62 65 67 2c 0a 20 20 ...ICLASS_xt_iclass_xsr_lbeg,...
2a5a0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 73 61 72 2c 0a 20 20 49 43 4c 41 ICLASS_xt_iclass_rsr_sar,...ICLA
2a5c0 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 61 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 SS_xt_iclass_wsr_sar,...ICLASS_x
2a5e0 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 t_iclass_xsr_sar,...ICLASS_xt_ic
2a600 6c 61 73 73 5f 72 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c lass_rsr_memctl,...ICLASS_xt_icl
2a620 61 73 73 5f 77 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ass_wsr_memctl,...ICLASS_xt_icla
2a640 73 73 5f 78 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ss_xsr_memctl,...ICLASS_xt_iclas
2a660 73 5f 72 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 s_rsr_litbase,...ICLASS_xt_iclas
2a680 73 5f 77 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 s_wsr_litbase,...ICLASS_xt_iclas
2a6a0 73 5f 78 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 s_xsr_litbase,...ICLASS_xt_iclas
2a6c0 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c s_rsr_configid0,...ICLASS_xt_icl
2a6e0 61 73 73 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 ass_wsr_configid0,...ICLASS_xt_i
2a700 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 class_rsr_configid1,...ICLASS_xt
2a720 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 73 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 _iclass_rsr_ps,...ICLASS_xt_icla
2a740 73 73 5f 77 73 72 5f 70 73 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 ss_wsr_ps,...ICLASS_xt_iclass_xs
2a760 72 5f 70 73 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 r_ps,...ICLASS_xt_iclass_rsr_epc
2a780 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 31 2c 0a 1,...ICLASS_xt_iclass_wsr_epc1,.
2a7a0 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 2c 0a 20 20 49 ..ICLASS_xt_iclass_xsr_epc1,...I
2a7c0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 2c 0a 20 20 CLASS_xt_iclass_rsr_excsave1,...
2a7e0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 31 2c 0a 20 ICLASS_xt_iclass_wsr_excsave1,..
2a800 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 31 2c 0a .ICLASS_xt_iclass_xsr_excsave1,.
2a820 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 32 2c 0a 20 20 49 ..ICLASS_xt_iclass_rsr_epc2,...I
2a840 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 32 2c 0a 20 20 49 43 4c 41 CLASS_xt_iclass_wsr_epc2,...ICLA
2a860 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 32 2c 0a 20 20 49 43 4c 41 53 53 5f SS_xt_iclass_xsr_epc2,...ICLASS_
2a880 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 32 2c 0a 20 20 49 43 4c 41 53 53 xt_iclass_rsr_excsave2,...ICLASS
2a8a0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 32 2c 0a 20 20 49 43 4c 41 53 _xt_iclass_wsr_excsave2,...ICLAS
2a8c0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 32 2c 0a 20 20 49 43 4c 41 S_xt_iclass_xsr_excsave2,...ICLA
2a8e0 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 33 2c 0a 20 20 49 43 4c 41 53 53 5f SS_xt_iclass_rsr_epc3,...ICLASS_
2a900 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f xt_iclass_wsr_epc3,...ICLASS_xt_
2a920 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c iclass_xsr_epc3,...ICLASS_xt_icl
2a940 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ass_rsr_excsave3,...ICLASS_xt_ic
2a960 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 lass_wsr_excsave3,...ICLASS_xt_i
2a980 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f class_xsr_excsave3,...ICLASS_xt_
2a9a0 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c iclass_rsr_epc4,...ICLASS_xt_icl
2a9c0 61 73 73 5f 77 73 72 5f 65 70 63 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ass_wsr_epc4,...ICLASS_xt_iclass
2a9e0 5f 78 73 72 5f 65 70 63 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 _xsr_epc4,...ICLASS_xt_iclass_rs
2aa00 72 5f 65 78 63 73 61 76 65 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 r_excsave4,...ICLASS_xt_iclass_w
2aa20 73 72 5f 65 78 63 73 61 76 65 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f sr_excsave4,...ICLASS_xt_iclass_
2aa40 78 73 72 5f 65 78 63 73 61 76 65 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 xsr_excsave4,...ICLASS_xt_iclass
2aa60 5f 72 73 72 5f 65 70 63 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 _rsr_epc5,...ICLASS_xt_iclass_ws
2aa80 72 5f 65 70 63 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 r_epc5,...ICLASS_xt_iclass_xsr_e
2aaa0 70 63 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 pc5,...ICLASS_xt_iclass_rsr_excs
2aac0 61 76 65 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 ave5,...ICLASS_xt_iclass_wsr_exc
2aae0 73 61 76 65 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 save5,...ICLASS_xt_iclass_xsr_ex
2ab00 63 73 61 76 65 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 csave5,...ICLASS_xt_iclass_rsr_e
2ab20 70 63 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 36 pc6,...ICLASS_xt_iclass_wsr_epc6
2ab40 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 2c 0a 20 ,...ICLASS_xt_iclass_xsr_epc6,..
2ab60 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 2c 0a .ICLASS_xt_iclass_rsr_excsave6,.
2ab80 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 36 2c ..ICLASS_xt_iclass_wsr_excsave6,
2aba0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 36 ...ICLASS_xt_iclass_xsr_excsave6
2abc0 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 37 2c 0a 20 ,...ICLASS_xt_iclass_rsr_epc7,..
2abe0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 37 2c 0a 20 20 49 43 .ICLASS_xt_iclass_wsr_epc7,...IC
2ac00 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 2c 0a 20 20 49 43 4c 41 53 LASS_xt_iclass_xsr_epc7,...ICLAS
2ac20 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 37 2c 0a 20 20 49 43 4c 41 S_xt_iclass_rsr_excsave7,...ICLA
2ac40 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 37 2c 0a 20 20 49 43 4c SS_xt_iclass_wsr_excsave7,...ICL
2ac60 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 37 2c 0a 20 20 49 43 ASS_xt_iclass_xsr_excsave7,...IC
2ac80 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 32 2c 0a 20 20 49 43 4c 41 53 LASS_xt_iclass_rsr_eps2,...ICLAS
2aca0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 S_xt_iclass_wsr_eps2,...ICLASS_x
2acc0 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 t_iclass_xsr_eps2,...ICLASS_xt_i
2ace0 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 class_rsr_eps3,...ICLASS_xt_icla
2ad00 73 73 5f 77 73 72 5f 65 70 73 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ss_wsr_eps3,...ICLASS_xt_iclass_
2ad20 78 73 72 5f 65 70 73 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 xsr_eps3,...ICLASS_xt_iclass_rsr
2ad40 5f 65 70 73 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 _eps4,...ICLASS_xt_iclass_wsr_ep
2ad60 73 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 2c s4,...ICLASS_xt_iclass_xsr_eps4,
2ad80 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 2c 0a 20 20 ...ICLASS_xt_iclass_rsr_eps5,...
2ada0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 35 2c 0a 20 20 49 43 4c ICLASS_xt_iclass_wsr_eps5,...ICL
2adc0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 35 2c 0a 20 20 49 43 4c 41 53 53 ASS_xt_iclass_xsr_eps5,...ICLASS
2ade0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 _xt_iclass_rsr_eps6,...ICLASS_xt
2ae00 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 _iclass_wsr_eps6,...ICLASS_xt_ic
2ae20 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 lass_xsr_eps6,...ICLASS_xt_iclas
2ae40 73 5f 72 73 72 5f 65 70 73 37 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 s_rsr_eps7,...ICLASS_xt_iclass_w
2ae60 73 72 5f 65 70 73 37 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f sr_eps7,...ICLASS_xt_iclass_xsr_
2ae80 65 70 73 37 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 eps7,...ICLASS_xt_iclass_rsr_exc
2aea0 76 61 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 vaddr,...ICLASS_xt_iclass_wsr_ex
2aec0 63 76 61 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 cvaddr,...ICLASS_xt_iclass_xsr_e
2aee0 78 63 76 61 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f xcvaddr,...ICLASS_xt_iclass_rsr_
2af00 64 65 70 63 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 70 depc,...ICLASS_xt_iclass_wsr_dep
2af20 63 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 70 63 2c 0a c,...ICLASS_xt_iclass_xsr_depc,.
2af40 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 63 61 75 73 65 2c ..ICLASS_xt_iclass_rsr_exccause,
2af60 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 63 61 75 73 65 ...ICLASS_xt_iclass_wsr_exccause
2af80 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 63 61 75 73 ,...ICLASS_xt_iclass_xsr_exccaus
2afa0 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 2c e,...ICLASS_xt_iclass_rsr_misc0,
2afc0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 2c 0a 20 ...ICLASS_xt_iclass_wsr_misc0,..
2afe0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 63 30 2c 0a 20 20 49 .ICLASS_xt_iclass_xsr_misc0,...I
2b000 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 31 2c 0a 20 20 49 43 4c CLASS_xt_iclass_rsr_misc1,...ICL
2b020 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 69 73 63 31 2c 0a 20 20 49 43 4c 41 53 ASS_xt_iclass_wsr_misc1,...ICLAS
2b040 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 63 31 2c 0a 20 20 49 43 4c 41 53 53 5f S_xt_iclass_xsr_misc1,...ICLASS_
2b060 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 72 69 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f xt_iclass_rsr_prid,...ICLASS_xt_
2b080 69 63 6c 61 73 73 5f 72 73 72 5f 76 65 63 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f iclass_rsr_vecbase,...ICLASS_xt_
2b0a0 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f iclass_wsr_vecbase,...ICLASS_xt_
2b0c0 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f iclass_xsr_vecbase,...ICLASS_xt_
2b0e0 6d 75 6c 31 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 6d 75 6c 33 32 2c 0a 20 20 49 43 4c 41 mul16,...ICLASS_xt_mul32,...ICLA
2b100 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 49 43 4c 41 53 53 5f SS_xt_iclass_mac16_aa,...ICLASS_
2b120 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f xt_iclass_mac16_ad,...ICLASS_xt_
2b140 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c iclass_mac16_da,...ICLASS_xt_icl
2b160 61 73 73 5f 6d 61 63 31 36 5f 64 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ass_mac16_dd,...ICLASS_xt_iclass
2b180 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d _mac16a_aa,...ICLASS_xt_iclass_m
2b1a0 61 63 31 36 61 5f 61 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 ac16a_ad,...ICLASS_xt_iclass_mac
2b1c0 31 36 61 5f 64 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 16a_da,...ICLASS_xt_iclass_mac16
2b1e0 61 5f 64 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c a_dd,...ICLASS_xt_iclass_mac16al
2b200 5f 64 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f _da,...ICLASS_xt_iclass_mac16al_
2b220 64 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 6c 2c 0a dd,...ICLASS_xt_iclass_mac16_l,.
2b240 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 30 2c 0a 20 20 49 43 4c ..ICLASS_xt_iclass_rsr_m0,...ICL
2b260 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 ASS_xt_iclass_wsr_m0,...ICLASS_x
2b280 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c t_iclass_xsr_m0,...ICLASS_xt_icl
2b2a0 61 73 73 5f 72 73 72 5f 6d 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 ass_rsr_m1,...ICLASS_xt_iclass_w
2b2c0 73 72 5f 6d 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 31 sr_m1,...ICLASS_xt_iclass_xsr_m1
2b2e0 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 32 2c 0a 20 20 49 ,...ICLASS_xt_iclass_rsr_m2,...I
2b300 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 32 2c 0a 20 20 49 43 4c 41 53 53 CLASS_xt_iclass_wsr_m2,...ICLASS
2b320 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 _xt_iclass_xsr_m2,...ICLASS_xt_i
2b340 63 6c 61 73 73 5f 72 73 72 5f 6d 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 class_rsr_m3,...ICLASS_xt_iclass
2b360 5f 77 73 72 5f 6d 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f _wsr_m3,...ICLASS_xt_iclass_xsr_
2b380 6d 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 6c 6f m3,...ICLASS_xt_iclass_rsr_acclo
2b3a0 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 2c 0a ,...ICLASS_xt_iclass_wsr_acclo,.
2b3c0 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 2c 0a 20 20 ..ICLASS_xt_iclass_xsr_acclo,...
2b3e0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 2c 0a 20 20 49 43 ICLASS_xt_iclass_rsr_acchi,...IC
2b400 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 2c 0a 20 20 49 43 4c 41 LASS_xt_iclass_wsr_acchi,...ICLA
2b420 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 68 69 2c 0a 20 20 49 43 4c 41 53 53 SS_xt_iclass_xsr_acchi,...ICLASS
2b440 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 _xt_iclass_rfi,...ICLASS_xt_icla
2b460 73 73 5f 77 61 69 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f ss_wait,...ICLASS_xt_iclass_rsr_
2b480 69 6e 74 65 72 72 75 70 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 interrupt,...ICLASS_xt_iclass_ws
2b4a0 72 5f 69 6e 74 73 65 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 r_intset,...ICLASS_xt_iclass_wsr
2b4c0 5f 69 6e 74 63 6c 65 61 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 _intclear,...ICLASS_xt_iclass_rs
2b4e0 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f r_intenable,...ICLASS_xt_iclass_
2b500 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 wsr_intenable,...ICLASS_xt_iclas
2b520 73 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c s_xsr_intenable,...ICLASS_xt_icl
2b540 61 73 73 5f 62 72 65 61 6b 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 ass_break,...ICLASS_xt_iclass_br
2b560 65 61 6b 5f 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 eak_n,...ICLASS_xt_iclass_rsr_db
2b580 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 reaka0,...ICLASS_xt_iclass_wsr_d
2b5a0 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f breaka0,...ICLASS_xt_iclass_xsr_
2b5c0 64 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 dbreaka0,...ICLASS_xt_iclass_rsr
2b5e0 5f 64 62 72 65 61 6b 63 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 _dbreakc0,...ICLASS_xt_iclass_ws
2b600 72 5f 64 62 72 65 61 6b 63 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 r_dbreakc0,...ICLASS_xt_iclass_x
2b620 73 72 5f 64 62 72 65 61 6b 63 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f sr_dbreakc0,...ICLASS_xt_iclass_
2b640 72 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 rsr_dbreaka1,...ICLASS_xt_iclass
2b660 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 _wsr_dbreaka1,...ICLASS_xt_iclas
2b680 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 s_xsr_dbreaka1,...ICLASS_xt_icla
2b6a0 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ss_rsr_dbreakc1,...ICLASS_xt_icl
2b6c0 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ass_wsr_dbreakc1,...ICLASS_xt_ic
2b6e0 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 lass_xsr_dbreakc1,...ICLASS_xt_i
2b700 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f class_rsr_ibreaka0,...ICLASS_xt_
2b720 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 iclass_wsr_ibreaka0,...ICLASS_xt
2b740 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 _iclass_xsr_ibreaka0,...ICLASS_x
2b760 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 5f t_iclass_rsr_ibreaka1,...ICLASS_
2b780 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 xt_iclass_wsr_ibreaka1,...ICLASS
2b7a0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 _xt_iclass_xsr_ibreaka1,...ICLAS
2b7c0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 2c 0a 20 20 S_xt_iclass_rsr_ibreakenable,...
2b7e0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c ICLASS_xt_iclass_wsr_ibreakenabl
2b800 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b e,...ICLASS_xt_iclass_xsr_ibreak
2b820 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 enable,...ICLASS_xt_iclass_rsr_d
2b840 65 62 75 67 63 61 75 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 ebugcause,...ICLASS_xt_iclass_ws
2b860 72 5f 64 65 62 75 67 63 61 75 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 r_debugcause,...ICLASS_xt_iclass
2b880 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c _xsr_debugcause,...ICLASS_xt_icl
2b8a0 61 73 73 5f 72 73 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ass_rsr_icount,...ICLASS_xt_icla
2b8c0 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ss_wsr_icount,...ICLASS_xt_iclas
2b8e0 73 5f 78 73 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 s_xsr_icount,...ICLASS_xt_iclass
2b900 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 _rsr_icountlevel,...ICLASS_xt_ic
2b920 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 lass_wsr_icountlevel,...ICLASS_x
2b940 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 49 43 4c 41 t_iclass_xsr_icountlevel,...ICLA
2b960 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 SS_xt_iclass_rsr_ddr,...ICLASS_x
2b980 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 t_iclass_wsr_ddr,...ICLASS_xt_ic
2b9a0 6c 61 73 73 5f 78 73 72 5f 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 lass_xsr_ddr,...ICLASS_xt_iclass
2b9c0 5f 72 66 64 6f 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 2c 0a _rfdo,...ICLASS_xt_iclass_rfdd,.
2b9e0 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 6d 69 64 2c 0a 20 20 49 ..ICLASS_xt_iclass_wsr_mmid,...I
2ba00 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 49 43 CLASS_xt_iclass_rsr_ccount,...IC
2ba20 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 49 43 4c LASS_xt_iclass_wsr_ccount,...ICL
2ba40 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 ASS_xt_iclass_xsr_ccount,...ICLA
2ba60 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a 20 20 49 43 SS_xt_iclass_rsr_ccompare0,...IC
2ba80 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a 20 20 LASS_xt_iclass_wsr_ccompare0,...
2baa0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a ICLASS_xt_iclass_xsr_ccompare0,.
2bac0 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 ..ICLASS_xt_iclass_rsr_ccompare1
2bae0 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 ,...ICLASS_xt_iclass_wsr_ccompar
2bb00 65 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 e1,...ICLASS_xt_iclass_xsr_ccomp
2bb20 61 72 65 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f are1,...ICLASS_xt_iclass_rsr_cco
2bb40 6d 70 61 72 65 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 mpare2,...ICLASS_xt_iclass_wsr_c
2bb60 63 6f 6d 70 61 72 65 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 compare2,...ICLASS_xt_iclass_xsr
2bb80 5f 63 63 6f 6d 70 61 72 65 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 _ccompare2,...ICLASS_xt_iclass_i
2bba0 63 61 63 68 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 cache,...ICLASS_xt_iclass_icache
2bbc0 5f 6c 6f 63 6b 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 _lock,...ICLASS_xt_iclass_icache
2bbe0 5f 69 6e 76 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 63 78 2c 0a 20 _inv,...ICLASS_xt_iclass_licx,..
2bc00 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 2c 0a 20 20 49 43 4c 41 53 53 .ICLASS_xt_iclass_sicx,...ICLASS
2bc20 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 _xt_iclass_dcache,...ICLASS_xt_i
2bc40 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 64 79 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 class_dcache_dyn,...ICLASS_xt_ic
2bc60 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c lass_dcache_ind,...ICLASS_xt_icl
2bc80 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 76 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ass_dcache_inv,...ICLASS_xt_icla
2bca0 73 73 5f 64 70 66 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 ss_dpf,...ICLASS_xt_iclass_dcach
2bcc0 65 5f 6c 6f 63 6b 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 64 63 74 2c e_lock,...ICLASS_xt_iclass_sdct,
2bce0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 74 2c 0a 20 20 49 43 4c 41 ...ICLASS_xt_iclass_ldct,...ICLA
2bd00 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 49 43 4c SS_xt_iclass_wsr_ptevaddr,...ICL
2bd20 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 49 43 ASS_xt_iclass_rsr_ptevaddr,...IC
2bd40 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 49 LASS_xt_iclass_xsr_ptevaddr,...I
2bd60 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 2c 0a 20 20 49 43 4c CLASS_xt_iclass_rsr_rasid,...ICL
2bd80 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 2c 0a 20 20 49 43 4c 41 53 ASS_xt_iclass_wsr_rasid,...ICLAS
2bda0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 72 61 73 69 64 2c 0a 20 20 49 43 4c 41 53 53 5f S_xt_iclass_xsr_rasid,...ICLASS_
2bdc0 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_rsr_itlbcfg,...ICLASS_
2bde0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_wsr_itlbcfg,...ICLASS_
2be00 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_xsr_itlbcfg,...ICLASS_
2be20 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_rsr_dtlbcfg,...ICLASS_
2be40 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_wsr_dtlbcfg,...ICLASS_
2be60 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_xsr_dtlbcfg,...ICLASS_
2be80 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c xt_iclass_idtlb,...ICLASS_xt_icl
2bea0 61 73 73 5f 72 64 74 6c 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 64 ass_rdtlb,...ICLASS_xt_iclass_wd
2bec0 74 6c 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 2c 0a 20 tlb,...ICLASS_xt_iclass_iitlb,..
2bee0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 2c 0a 20 20 49 43 4c 41 53 .ICLASS_xt_iclass_ritlb,...ICLAS
2bf00 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 69 74 6c 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 S_xt_iclass_witlb,...ICLASS_xt_i
2bf20 63 6c 61 73 73 5f 6c 64 70 74 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f class_ldpte,...ICLASS_xt_iclass_
2bf40 68 77 77 69 74 6c 62 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 68 77 77 hwwitlba,...ICLASS_xt_iclass_hww
2bf60 64 74 6c 62 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 70 dtlba,...ICLASS_xt_iclass_rsr_cp
2bf80 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 enable,...ICLASS_xt_iclass_wsr_c
2bfa0 70 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f penable,...ICLASS_xt_iclass_xsr_
2bfc0 63 70 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 6c 61 cpenable,...ICLASS_xt_iclass_cla
2bfe0 6d 70 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d 61 78 2c 0a 20 mp,...ICLASS_xt_iclass_minmax,..
2c000 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 73 61 2c 0a 20 20 49 43 4c 41 53 53 5f .ICLASS_xt_iclass_nsa,...ICLASS_
2c020 78 74 5f 69 63 6c 61 73 73 5f 73 78 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 xt_iclass_sx,...ICLASS_xt_iclass
2c040 5f 6c 33 32 61 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 72 69 _l32ai,...ICLASS_xt_iclass_s32ri
2c060 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 63 31 69 2c 0a 20 20 49 ,...ICLASS_xt_iclass_s32c1i,...I
2c080 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 2c 0a 20 CLASS_xt_iclass_rsr_scompare1,..
2c0a0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 2c .ICLASS_xt_iclass_wsr_scompare1,
2c0c0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 ...ICLASS_xt_iclass_xsr_scompare
2c0e0 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 6f 6d 63 74 1,...ICLASS_xt_iclass_rsr_atomct
2c100 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 74 6f 6d 63 74 l,...ICLASS_xt_iclass_wsr_atomct
2c120 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 74 6f 6d 63 74 l,...ICLASS_xt_iclass_xsr_atomct
2c140 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 69 76 2c 0a 20 20 49 43 4c l,...ICLASS_xt_iclass_div,...ICL
2c160 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 ASS_xt_iclass_rer,...ICLASS_xt_i
2c180 63 6c 61 73 73 5f 77 65 72 2c 0a 20 20 49 43 4c 41 53 53 5f 72 75 72 5f 65 78 70 73 74 61 74 65 class_wer,...ICLASS_rur_expstate
2c1a0 2c 0a 20 20 49 43 4c 41 53 53 5f 77 75 72 5f 65 78 70 73 74 61 74 65 2c 0a 20 20 49 43 4c 41 53 ,...ICLASS_wur_expstate,...ICLAS
2c1c0 53 5f 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 2c 0a 20 20 49 43 4c 41 53 53 5f S_iclass_READ_IMPWIRE,...ICLASS_
2c1e0 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 49 43 4c 41 53 53 5f 69 iclass_SETB_EXPSTATE,...ICLASS_i
2c200 63 6c 61 73 73 5f 43 4c 52 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 49 43 4c 41 53 53 5f 69 63 class_CLRB_EXPSTATE,...ICLASS_ic
2c220 6c 61 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 20 4f 70 lass_WRMSK_EXPSTATE.};..../*..Op
2c240 63 6f 64 65 20 65 6e 63 6f 64 69 6e 67 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 code.encodings...*/..static.void
2c260 0a 4f 70 63 6f 64 65 5f 65 78 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 .Opcode_excw_Slot_inst_encode.(x
2c280 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
2c2a0 75 66 5b 30 5d 20 3d 20 30 78 32 30 38 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f uf[0].=.0x2080;.}..static.void.O
2c2c0 70 63 6f 64 65 5f 72 66 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e pcode_rfe_Slot_inst_encode.(xten
2c2e0 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
2c300 30 5d 20 3d 20 30 78 33 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 0].=.0x3000;.}..static.void.Opco
2c320 64 65 5f 72 66 64 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 de_rfde_Slot_inst_encode.(xtensa
2c340 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
2c360 20 3d 20 30 78 33 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .=.0x3200;.}..static.void.Opcode
2c380 5f 73 79 73 63 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 _syscall_Slot_inst_encode.(xtens
2c3a0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
2c3c0 5d 20 3d 20 30 78 35 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 ].=.0x5000;.}..static.void.Opcod
2c3e0 65 5f 63 61 6c 6c 31 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 e_call12_Slot_inst_encode.(xtens
2c400 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
2c420 5d 20 3d 20 30 78 33 35 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f ].=.0x35;.}..static.void.Opcode_
2c440 63 61 6c 6c 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 call8_Slot_inst_encode.(xtensa_i
2c460 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
2c480 20 30 78 32 35 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c .0x25;.}..static.void.Opcode_cal
2c4a0 6c 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e l4_Slot_inst_encode.(xtensa_insn
2c4c0 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
2c4e0 31 35 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 31 15;.}..static.void.Opcode_callx1
2c500 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 2_Slot_inst_encode.(xtensa_insnb
2c520 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 uf.slotbuf).{...slotbuf[0].=.0xf
2c540 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 38 5f 0;.}..static.void.Opcode_callx8_
2c560 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
2c580 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 30 3b .slotbuf).{...slotbuf[0].=.0xe0;
2c5a0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 34 5f 53 6c .}..static.void.Opcode_callx4_Sl
2c5c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
2c5e0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 64 30 3b 0a 7d lotbuf).{...slotbuf[0].=.0xd0;.}
2c600 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 65 6e 74 72 79 5f 53 6c 6f 74 5f ..static.void.Opcode_entry_Slot_
2c620 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
2c640 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 36 3b 0a 7d 0a 0a 73 buf).{...slotbuf[0].=.0x36;.}..s
2c660 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 73 70 5f 53 6c 6f 74 5f 69 6e 73 tatic.void.Opcode_movsp_Slot_ins
2c680 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
2c6a0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 30 30 30 3b 0a 7d 0a 0a 73 74 ).{...slotbuf[0].=.0x1000;.}..st
2c6c0 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 6f 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f atic.void.Opcode_rotw_Slot_inst_
2c6e0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
2c700 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 38 30 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x408000;.}..st
2c720 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f atic.void.Opcode_retw_Slot_inst_
2c740 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
2c760 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 {...slotbuf[0].=.0x90;.}..static
2c780 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 74 77 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 .void.Opcode_retw_n_Slot_inst16b
2c7a0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
2c7c0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 31 64 3b 0a 7d 0a 0a 73 74 61 .{...slotbuf[0].=.0xf01d;.}..sta
2c7e0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 77 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 tic.void.Opcode_rfwo_Slot_inst_e
2c800 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
2c820 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ...slotbuf[0].=.0x3400;.}..stati
2c840 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 77 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 c.void.Opcode_rfwu_Slot_inst_enc
2c860 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
2c880 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .slotbuf[0].=.0x3500;.}..static.
2c8a0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 33 32 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 void.Opcode_l32e_Slot_inst_encod
2c8c0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
2c8e0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 lotbuf[0].=.0x90000;.}..static.v
2c900 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 33 32 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 oid.Opcode_s32e_Slot_inst_encode
2c920 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
2c940 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 39 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 otbuf[0].=.0x490000;.}..static.v
2c960 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 53 6c 6f 74 5f 69 oid.Opcode_rsr_windowbase_Slot_i
2c980 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
2c9a0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 34 38 30 30 3b 0a 7d 0a uf).{...slotbuf[0].=.0x34800;.}.
2c9c0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 .static.void.Opcode_wsr_windowba
2c9e0 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e se_Slot_inst_encode.(xtensa_insn
2ca00 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
2ca20 31 33 34 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 134800;.}..static.void.Opcode_xs
2ca40 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 r_windowbase_Slot_inst_encode.(x
2ca60 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
2ca80 75 66 5b 30 5d 20 3d 20 30 78 36 31 34 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x614800;.}..static.void
2caa0 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 53 6c 6f 74 5f 69 6e 73 .Opcode_rsr_windowstart_Slot_ins
2cac0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
2cae0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 34 39 30 30 3b 0a 7d 0a 0a 73 ).{...slotbuf[0].=.0x34900;.}..s
2cb00 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 tatic.void.Opcode_wsr_windowstar
2cb20 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 t_Slot_inst_encode.(xtensa_insnb
2cb40 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
2cb60 33 34 39 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 34900;.}..static.void.Opcode_xsr
2cb80 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 _windowstart_Slot_inst_encode.(x
2cba0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
2cbc0 75 66 5b 30 5d 20 3d 20 30 78 36 31 34 39 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x614900;.}..static.void
2cbe0 0a 4f 70 63 6f 64 65 5f 61 64 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 .Opcode_add_n_Slot_inst16a_encod
2cc00 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
2cc20 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a lotbuf[0].=.0xa;.}..static.void.
2cc40 4f 70 63 6f 64 65 5f 61 64 64 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 Opcode_addi_n_Slot_inst16a_encod
2cc60 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
2cc80 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 62 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a lotbuf[0].=.0xb;.}..static.void.
2cca0 4f 70 63 6f 64 65 5f 62 65 71 7a 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 Opcode_beqz_n_Slot_inst16b_encod
2ccc0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
2cce0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 63 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 lotbuf[0].=.0x8c;.}..static.void
2cd00 0a 4f 70 63 6f 64 65 5f 62 6e 65 7a 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f .Opcode_bnez_n_Slot_inst16b_enco
2cd20 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
2cd40 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 63 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 slotbuf[0].=.0xcc;.}..static.voi
2cd60 64 0a 4f 70 63 6f 64 65 5f 69 6c 6c 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f d.Opcode_ill_n_Slot_inst16b_enco
2cd80 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
2cda0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 36 64 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 slotbuf[0].=.0xf06d;.}..static.v
2cdc0 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 oid.Opcode_l32i_n_Slot_inst16a_e
2cde0 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
2ce00 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 ...slotbuf[0].=.0x8;.}..static.v
2ce20 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e oid.Opcode_mov_n_Slot_inst16b_en
2ce40 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
2ce60 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 64 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f ..slotbuf[0].=.0xd;.}..static.vo
2ce80 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e id.Opcode_movi_n_Slot_inst16b_en
2cea0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
2cec0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f ..slotbuf[0].=.0xc;.}..static.vo
2cee0 69 64 0a 4f 70 63 6f 64 65 5f 6e 6f 70 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 id.Opcode_nop_n_Slot_inst16b_enc
2cf00 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
2cf20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 33 64 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .slotbuf[0].=.0xf03d;.}..static.
2cf40 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 74 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 void.Opcode_ret_n_Slot_inst16b_e
2cf60 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
2cf80 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 30 64 3b 0a 7d 0a 0a 73 74 61 74 69 ...slotbuf[0].=.0xf00d;.}..stati
2cfa0 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 33 32 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 c.void.Opcode_s32i_n_Slot_inst16
2cfc0 61 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 a_encode.(xtensa_insnbuf.slotbuf
2cfe0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 3b 0a 7d 0a 0a 73 74 61 74 69 ).{...slotbuf[0].=.0x9;.}..stati
2d000 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 5f 53 6c 6f 74 c.void.Opcode_rur_threadptr_Slot
2d020 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
2d040 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 33 30 65 37 30 3b tbuf).{...slotbuf[0].=.0xe30e70;
2d060 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 75 72 5f 74 68 72 65 61 .}..static.void.Opcode_wur_threa
2d080 64 70 74 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e dptr_Slot_inst_encode.(xtensa_in
2d0a0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2d0c0 30 78 66 33 65 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf3e700;.}..static.void.Opcode_
2d0e0 61 64 64 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e addi_Slot_inst_encode.(xtensa_in
2d100 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2d120 30 78 63 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 0xc002;.}..static.void.Opcode_ad
2d140 64 6d 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 dmi_Slot_inst_encode.(xtensa_ins
2d160 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
2d180 78 64 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 64 xd002;.}..static.void.Opcode_add
2d1a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
2d1c0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 f.slotbuf).{...slotbuf[0].=.0x80
2d1e0 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 75 62 5f 0000;.}..static.void.Opcode_sub_
2d200 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
2d220 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 30 30 .slotbuf).{...slotbuf[0].=.0xc00
2d240 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 64 78 32 000;.}..static.void.Opcode_addx2
2d260 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
2d280 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 f.slotbuf).{...slotbuf[0].=.0x90
2d2a0 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 64 78 0000;.}..static.void.Opcode_addx
2d2c0 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 4_Slot_inst_encode.(xtensa_insnb
2d2e0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 uf.slotbuf).{...slotbuf[0].=.0xa
2d300 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 64 00000;.}..static.void.Opcode_add
2d320 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e x8_Slot_inst_encode.(xtensa_insn
2d340 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
2d360 62 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 75 b00000;.}..static.void.Opcode_su
2d380 62 78 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 bx2_Slot_inst_encode.(xtensa_ins
2d3a0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
2d3c0 78 64 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 xd00000;.}..static.void.Opcode_s
2d3e0 75 62 78 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e ubx4_Slot_inst_encode.(xtensa_in
2d400 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2d420 30 78 65 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xe00000;.}..static.void.Opcode_
2d440 73 75 62 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 subx8_Slot_inst_encode.(xtensa_i
2d460 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
2d480 20 30 78 66 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0xf00000;.}..static.void.Opcode
2d4a0 5f 61 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e _and_Slot_inst_encode.(xtensa_in
2d4c0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2d4e0 30 78 31 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x100000;.}..static.void.Opcode_
2d500 6f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e or_Slot_inst_encode.(xtensa_insn
2d520 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
2d540 32 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 6f 200000;.}..static.void.Opcode_xo
2d560 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 r_Slot_inst_encode.(xtensa_insnb
2d580 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 uf.slotbuf).{...slotbuf[0].=.0x3
2d5a0 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 65 71 00000;.}..static.void.Opcode_beq
2d5c0 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 i_Slot_inst_encode.(xtensa_insnb
2d5e0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 uf.slotbuf).{...slotbuf[0].=.0x2
2d600 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6e 65 69 5f 53 6c 6;.}..static.void.Opcode_bnei_Sl
2d620 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
2d640 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 36 3b 0a 7d lotbuf).{...slotbuf[0].=.0x66;.}
2d660 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 67 65 69 5f 53 6c 6f 74 5f 69 ..static.void.Opcode_bgei_Slot_i
2d680 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
2d6a0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 36 3b 0a 7d 0a 0a 73 74 uf).{...slotbuf[0].=.0xe6;.}..st
2d6c0 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6c 74 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f atic.void.Opcode_blti_Slot_inst_
2d6e0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
2d700 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 {...slotbuf[0].=.0xa6;.}..static
2d720 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 62 63 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .void.Opcode_bbci_Slot_inst_enco
2d740 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
2d760 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 slotbuf[0].=.0x6007;.}..static.v
2d780 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 62 73 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 oid.Opcode_bbsi_Slot_inst_encode
2d7a0 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
2d7c0 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 otbuf[0].=.0xe007;.}..static.voi
2d7e0 64 0a 4f 70 63 6f 64 65 5f 62 67 65 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 d.Opcode_bgeui_Slot_inst_encode.
2d800 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
2d820 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f tbuf[0].=.0xf6;.}..static.void.O
2d840 70 63 6f 64 65 5f 62 6c 74 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 pcode_bltui_Slot_inst_encode.(xt
2d860 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
2d880 66 5b 30 5d 20 3d 20 30 78 62 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f f[0].=.0xb6;.}..static.void.Opco
2d8a0 64 65 5f 62 65 71 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f de_beq_Slot_inst_encode.(xtensa_
2d8c0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
2d8e0 3d 20 30 78 31 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f =.0x1007;.}..static.void.Opcode_
2d900 62 6e 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 bne_Slot_inst_encode.(xtensa_ins
2d920 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
2d940 78 39 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 67 65 x9007;.}..static.void.Opcode_bge
2d960 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
2d980 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 f.slotbuf).{...slotbuf[0].=.0xa0
2d9a0 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6c 74 5f 53 6c 07;.}..static.void.Opcode_blt_Sl
2d9c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
2d9e0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 30 37 3b lotbuf).{...slotbuf[0].=.0x2007;
2da00 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 67 65 75 5f 53 6c 6f 74 .}..static.void.Opcode_bgeu_Slot
2da20 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
2da40 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 62 30 30 37 3b 0a 7d tbuf).{...slotbuf[0].=.0xb007;.}
2da60 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6c 74 75 5f 53 6c 6f 74 5f 69 ..static.void.Opcode_bltu_Slot_i
2da80 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
2daa0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 30 37 3b 0a 7d 0a 0a uf).{...slotbuf[0].=.0x3007;.}..
2dac0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 61 6e 79 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_bany_Slot_ins
2dae0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
2db00 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 30 37 3b 0a 7d 0a 0a 73 74 ).{...slotbuf[0].=.0x8007;.}..st
2db20 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6e 6f 6e 65 5f 53 6c 6f 74 5f 69 6e 73 74 atic.void.Opcode_bnone_Slot_inst
2db40 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
2db60 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 .{...slotbuf[0].=.0x7;.}..static
2db80 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .void.Opcode_ball_Slot_inst_enco
2dba0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
2dbc0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 slotbuf[0].=.0x4007;.}..static.v
2dbe0 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6e 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 oid.Opcode_bnall_Slot_inst_encod
2dc00 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
2dc20 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f lotbuf[0].=.0xc007;.}..static.vo
2dc40 69 64 0a 4f 70 63 6f 64 65 5f 62 62 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 id.Opcode_bbc_Slot_inst_encode.(
2dc60 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
2dc80 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a buf[0].=.0x5007;.}..static.void.
2dca0 4f 70 63 6f 64 65 5f 62 62 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 Opcode_bbs_Slot_inst_encode.(xte
2dcc0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
2dce0 5b 30 5d 20 3d 20 30 78 64 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 [0].=.0xd007;.}..static.void.Opc
2dd00 6f 64 65 5f 62 65 71 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ode_beqz_Slot_inst_encode.(xtens
2dd20 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
2dd40 5d 20 3d 20 30 78 31 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f ].=.0x16;.}..static.void.Opcode_
2dd60 62 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e bnez_Slot_inst_encode.(xtensa_in
2dd80 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2dda0 30 78 35 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 67 65 7a 0x56;.}..static.void.Opcode_bgez
2ddc0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
2dde0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 64 36 f.slotbuf).{...slotbuf[0].=.0xd6
2de00 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6c 74 7a 5f 53 6c 6f ;.}..static.void.Opcode_bltz_Slo
2de20 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
2de40 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 36 3b 0a 7d 0a otbuf).{...slotbuf[0].=.0x96;.}.
2de60 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 30 5f 53 6c 6f 74 5f 69 .static.void.Opcode_call0_Slot_i
2de80 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
2dea0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 3b 0a 7d 0a 0a 73 74 61 uf).{...slotbuf[0].=.0x5;.}..sta
2dec0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 30 5f 53 6c 6f 74 5f 69 6e 73 74 tic.void.Opcode_callx0_Slot_inst
2dee0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
2df00 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 30 3b 0a 7d 0a 0a 73 74 61 74 69 .{...slotbuf[0].=.0xc0;.}..stati
2df20 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 65 78 74 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e c.void.Opcode_extui_Slot_inst_en
2df40 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
2df60 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ..slotbuf[0].=.0x40000;.}..stati
2df80 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f c.void.Opcode_ill_Slot_inst_enco
2dfa0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
2dfc0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f slotbuf[0].=.0;.}..static.void.O
2dfe0 70 63 6f 64 65 5f 6a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 pcode_j_Slot_inst_encode.(xtensa
2e000 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
2e020 20 3d 20 30 78 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6a 78 .=.0x6;.}..static.void.Opcode_jx
2e040 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
2e060 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 f.slotbuf).{...slotbuf[0].=.0xa0
2e080 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 31 36 75 69 5f 53 6c ;.}..static.void.Opcode_l16ui_Sl
2e0a0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
2e0c0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 30 30 32 3b lotbuf).{...slotbuf[0].=.0x1002;
2e0e0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 31 36 73 69 5f 53 6c 6f .}..static.void.Opcode_l16si_Slo
2e100 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
2e120 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 30 32 3b 0a otbuf).{...slotbuf[0].=.0x9002;.
2e140 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 53 6c 6f 74 5f }..static.void.Opcode_l32i_Slot_
2e160 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
2e180 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 30 32 3b 0a 7d 0a buf).{...slotbuf[0].=.0x2002;.}.
2e1a0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 33 32 72 5f 53 6c 6f 74 5f 69 6e .static.void.Opcode_l32r_Slot_in
2e1c0 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
2e1e0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 3b 0a 7d 0a 0a 73 74 61 74 f).{...slotbuf[0].=.0x1;.}..stat
2e200 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 38 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ic.void.Opcode_l8ui_Slot_inst_en
2e220 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
2e240 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f ..slotbuf[0].=.0x2;.}..static.vo
2e260 69 64 0a 4f 70 63 6f 64 65 5f 6c 6f 6f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 id.Opcode_loop_Slot_inst_encode.
2e280 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
2e2a0 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 37 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 tbuf[0].=.0x8076;.}..static.void
2e2c0 0a 4f 70 63 6f 64 65 5f 6c 6f 6f 70 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 .Opcode_loopnez_Slot_inst_encode
2e2e0 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
2e300 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 37 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 otbuf[0].=.0x9076;.}..static.voi
2e320 64 0a 4f 70 63 6f 64 65 5f 6c 6f 6f 70 67 74 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 d.Opcode_loopgtz_Slot_inst_encod
2e340 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
2e360 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 37 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f lotbuf[0].=.0xa076;.}..static.vo
2e380 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 id.Opcode_movi_Slot_inst_encode.
2e3a0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
2e3c0 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 tbuf[0].=.0xa002;.}..static.void
2e3e0 0a 4f 70 63 6f 64 65 5f 6d 6f 76 65 71 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 .Opcode_moveqz_Slot_inst_encode.
2e400 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
2e420 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x830000;.}..static.vo
2e440 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 id.Opcode_movnez_Slot_inst_encod
2e460 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
2e480 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x930000;.}..static.
2e4a0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 6c 74 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 void.Opcode_movltz_Slot_inst_enc
2e4c0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
2e4e0 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0xa30000;.}..stati
2e500 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 67 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 c.void.Opcode_movgez_Slot_inst_e
2e520 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
2e540 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 62 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0xb30000;.}..sta
2e560 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6e 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e tic.void.Opcode_neg_Slot_inst_en
2e580 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
2e5a0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x600000;.}..stat
2e5c0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 62 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ic.void.Opcode_abs_Slot_inst_enc
2e5e0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
2e600 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 30 30 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x600100;.}..stati
2e620 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6e 6f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f c.void.Opcode_nop_Slot_inst_enco
2e640 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
2e660 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 66 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 slotbuf[0].=.0x20f0;.}..static.v
2e680 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 oid.Opcode_ret_Slot_inst_encode.
2e6a0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
2e6c0 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f tbuf[0].=.0x80;.}..static.void.O
2e6e0 70 63 6f 64 65 5f 73 69 6d 63 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 pcode_simcall_Slot_inst_encode.(
2e700 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
2e720 62 75 66 5b 30 5d 20 3d 20 30 78 35 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a buf[0].=.0x5100;.}..static.void.
2e740 4f 70 63 6f 64 65 5f 73 31 36 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 Opcode_s16i_Slot_inst_encode.(xt
2e760 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
2e780 66 5b 30 5d 20 3d 20 30 78 35 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 f[0].=.0x5002;.}..static.void.Op
2e7a0 63 6f 64 65 5f 73 33 32 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e code_s32i_Slot_inst_encode.(xten
2e7c0 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
2e7e0 30 5d 20 3d 20 30 78 36 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 0].=.0x6002;.}..static.void.Opco
2e800 64 65 5f 73 33 32 6e 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 de_s32nb_Slot_inst_encode.(xtens
2e820 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
2e840 5d 20 3d 20 30 78 35 39 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x590000;.}..static.void.Opc
2e860 6f 64 65 5f 73 38 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 ode_s8i_Slot_inst_encode.(xtensa
2e880 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
2e8a0 20 3d 20 30 78 34 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .=.0x4002;.}..static.void.Opcode
2e8c0 5f 73 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e _ssr_Slot_inst_encode.(xtensa_in
2e8e0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2e900 30 78 34 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x400000;.}..static.void.Opcode_
2e920 73 73 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ssl_Slot_inst_encode.(xtensa_ins
2e940 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
2e960 78 34 30 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 x401000;.}..static.void.Opcode_s
2e980 73 61 38 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e sa8l_Slot_inst_encode.(xtensa_in
2e9a0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2e9c0 30 78 34 30 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x402000;.}..static.void.Opcode_
2e9e0 73 73 61 38 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 ssa8b_Slot_inst_encode.(xtensa_i
2ea00 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
2ea20 20 30 78 34 30 33 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x403000;.}..static.void.Opcode
2ea40 5f 73 73 61 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 _ssai_Slot_inst_encode.(xtensa_i
2ea60 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
2ea80 20 30 78 34 30 34 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x404000;.}..static.void.Opcode
2eaa0 5f 73 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e _sll_Slot_inst_encode.(xtensa_in
2eac0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2eae0 30 78 61 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xa10000;.}..static.void.Opcode_
2eb00 73 72 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 src_Slot_inst_encode.(xtensa_ins
2eb20 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
2eb40 78 38 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 x810000;.}..static.void.Opcode_s
2eb60 72 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e rl_Slot_inst_encode.(xtensa_insn
2eb80 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
2eba0 39 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 72 910000;.}..static.void.Opcode_sr
2ebc0 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 a_Slot_inst_encode.(xtensa_insnb
2ebe0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 62 uf.slotbuf).{...slotbuf[0].=.0xb
2ec00 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 6c 6c 10000;.}..static.void.Opcode_sll
2ec20 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 i_Slot_inst_encode.(xtensa_insnb
2ec40 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
2ec60 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 72 61 69 0000;.}..static.void.Opcode_srai
2ec80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
2eca0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 31 f.slotbuf).{...slotbuf[0].=.0x21
2ecc0 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 72 6c 69 0000;.}..static.void.Opcode_srli
2ece0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
2ed00 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 31 f.slotbuf).{...slotbuf[0].=.0x41
2ed20 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 65 6d 77 0000;.}..static.void.Opcode_memw
2ed40 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
2ed60 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 f.slotbuf).{...slotbuf[0].=.0x20
2ed80 63 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 65 78 74 77 5f 53 c0;.}..static.void.Opcode_extw_S
2eda0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
2edc0 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 64 30 slotbuf).{...slotbuf[0].=.0x20d0
2ede0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 73 79 6e 63 5f 53 6c ;.}..static.void.Opcode_isync_Sl
2ee00 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
2ee20 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 30 30 3b lotbuf).{...slotbuf[0].=.0x2000;
2ee40 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 79 6e 63 5f 53 6c 6f .}..static.void.Opcode_rsync_Slo
2ee60 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
2ee80 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 31 30 3b 0a otbuf).{...slotbuf[0].=.0x2010;.
2eea0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 65 73 79 6e 63 5f 53 6c 6f 74 }..static.void.Opcode_esync_Slot
2eec0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
2eee0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 32 30 3b 0a 7d tbuf).{...slotbuf[0].=.0x2020;.}
2ef00 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 73 79 6e 63 5f 53 6c 6f 74 5f ..static.void.Opcode_dsync_Slot_
2ef20 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
2ef40 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 33 30 3b 0a 7d 0a buf).{...slotbuf[0].=.0x2030;.}.
2ef60 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 69 6c 5f 53 6c 6f 74 5f 69 6e .static.void.Opcode_rsil_Slot_in
2ef80 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
2efa0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 30 30 30 3b 0a 7d 0a 0a 73 f).{...slotbuf[0].=.0x6000;.}..s
2efc0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 65 6e 64 5f 53 6c 6f 74 5f tatic.void.Opcode_rsr_lend_Slot_
2efe0 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
2f000 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 31 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x30100;.}
2f020 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 65 6e 64 5f 53 6c ..static.void.Opcode_wsr_lend_Sl
2f040 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
2f060 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 30 31 30 lotbuf).{...slotbuf[0].=.0x13010
2f080 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 65 6e 0;.}..static.void.Opcode_xsr_len
2f0a0 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 d_Slot_inst_encode.(xtensa_insnb
2f0c0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 uf.slotbuf).{...slotbuf[0].=.0x6
2f0e0 31 30 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 10100;.}..static.void.Opcode_rsr
2f100 5f 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 _lcount_Slot_inst_encode.(xtensa
2f120 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
2f140 20 3d 20 30 78 33 30 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 .=.0x30200;.}..static.void.Opcod
2f160 65 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 e_wsr_lcount_Slot_inst_encode.(x
2f180 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
2f1a0 75 66 5b 30 5d 20 3d 20 30 78 31 33 30 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x130200;.}..static.void
2f1c0 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_xsr_lcount_Slot_inst_enc
2f1e0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
2f200 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 30 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x610200;.}..stati
2f220 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 62 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 c.void.Opcode_rsr_lbeg_Slot_inst
2f240 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
2f260 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 .{...slotbuf[0].=.0x30000;.}..st
2f280 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 62 65 67 5f 53 6c 6f 74 5f 69 atic.void.Opcode_wsr_lbeg_Slot_i
2f2a0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
2f2c0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 30 30 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x130000;.}
2f2e0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 62 65 67 5f 53 6c ..static.void.Opcode_xsr_lbeg_Sl
2f300 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
2f320 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 30 30 30 lotbuf).{...slotbuf[0].=.0x61000
2f340 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 73 61 72 0;.}..static.void.Opcode_rsr_sar
2f360 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
2f380 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 f.slotbuf).{...slotbuf[0].=.0x30
2f3a0 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 73 300;.}..static.void.Opcode_wsr_s
2f3c0 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e ar_Slot_inst_encode.(xtensa_insn
2f3e0 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
2f400 31 33 30 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 130300;.}..static.void.Opcode_xs
2f420 72 5f 73 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 r_sar_Slot_inst_encode.(xtensa_i
2f440 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
2f460 20 30 78 36 31 30 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x610300;.}..static.void.Opcode
2f480 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 _rsr_memctl_Slot_inst_encode.(xt
2f4a0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
2f4c0 66 5b 30 5d 20 3d 20 30 78 33 36 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f f[0].=.0x36100;.}..static.void.O
2f4e0 70 63 6f 64 65 5f 77 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_wsr_memctl_Slot_inst_encod
2f500 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
2f520 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 36 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x136100;.}..static.
2f540 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 void.Opcode_xsr_memctl_Slot_inst
2f560 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
2f580 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 36 31 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x616100;.}..s
2f5a0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 69 74 62 61 73 65 5f 53 6c tatic.void.Opcode_rsr_litbase_Sl
2f5c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
2f5e0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 35 30 30 lotbuf).{...slotbuf[0].=.0x30500
2f600 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 69 74 62 ;.}..static.void.Opcode_wsr_litb
2f620 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ase_Slot_inst_encode.(xtensa_ins
2f640 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
2f660 78 31 33 30 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 x130500;.}..static.void.Opcode_x
2f680 73 72 5f 6c 69 74 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 sr_litbase_Slot_inst_encode.(xte
2f6a0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
2f6c0 5b 30 5d 20 3d 20 30 78 36 31 30 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x610500;.}..static.void.O
2f6e0 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e pcode_rsr_configid0_Slot_inst_en
2f700 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
2f720 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ..slotbuf[0].=.0x3b000;.}..stati
2f740 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 53 6c 6f 74 c.void.Opcode_wsr_configid0_Slot
2f760 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
2f780 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 30 30 30 3b tbuf).{...slotbuf[0].=.0x13b000;
2f7a0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 .}..static.void.Opcode_rsr_confi
2f7c0 67 69 64 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e gid1_Slot_inst_encode.(xtensa_in
2f7e0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2f800 30 78 33 64 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 0x3d000;.}..static.void.Opcode_r
2f820 73 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 sr_ps_Slot_inst_encode.(xtensa_i
2f840 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
2f860 20 30 78 33 65 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f .0x3e600;.}..static.void.Opcode_
2f880 77 73 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f wsr_ps_Slot_inst_encode.(xtensa_
2f8a0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
2f8c0 3d 20 30 78 31 33 65 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x13e600;.}..static.void.Opcod
2f8e0 65 5f 78 73 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 e_xsr_ps_Slot_inst_encode.(xtens
2f900 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
2f920 5d 20 3d 20 30 78 36 31 65 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x61e600;.}..static.void.Opc
2f940 6f 64 65 5f 72 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 ode_rsr_epc1_Slot_inst_encode.(x
2f960 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
2f980 75 66 5b 30 5d 20 3d 20 30 78 33 62 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a uf[0].=.0x3b100;.}..static.void.
2f9a0 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 Opcode_wsr_epc1_Slot_inst_encode
2f9c0 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
2f9e0 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 otbuf[0].=.0x13b100;.}..static.v
2fa00 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e oid.Opcode_xsr_epc1_Slot_inst_en
2fa20 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
2fa40 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x61b100;.}..stat
2fa60 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f 53 6c 6f 74 ic.void.Opcode_rsr_excsave1_Slot
2fa80 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
2faa0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 64 31 30 30 3b 0a tbuf).{...slotbuf[0].=.0x3d100;.
2fac0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 }..static.void.Opcode_wsr_excsav
2fae0 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e e1_Slot_inst_encode.(xtensa_insn
2fb00 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
2fb20 31 33 64 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 13d100;.}..static.void.Opcode_xs
2fb40 72 5f 65 78 63 73 61 76 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 r_excsave1_Slot_inst_encode.(xte
2fb60 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
2fb80 5b 30 5d 20 3d 20 30 78 36 31 64 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x61d100;.}..static.void.O
2fba0 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 pcode_rsr_epc2_Slot_inst_encode.
2fbc0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
2fbe0 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 tbuf[0].=.0x3b200;.}..static.voi
2fc00 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f d.Opcode_wsr_epc2_Slot_inst_enco
2fc20 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
2fc40 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x13b200;.}..static
2fc60 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f .void.Opcode_xsr_epc2_Slot_inst_
2fc80 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
2fca0 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 32 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x61b200;.}..st
2fcc0 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 32 5f 53 6c atic.void.Opcode_rsr_excsave2_Sl
2fce0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
2fd00 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 64 32 30 30 lotbuf).{...slotbuf[0].=.0x3d200
2fd20 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 ;.}..static.void.Opcode_wsr_excs
2fd40 61 76 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e ave2_Slot_inst_encode.(xtensa_in
2fd60 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
2fd80 30 78 31 33 64 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x13d200;.}..static.void.Opcode_
2fda0 78 73 72 5f 65 78 63 73 61 76 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 xsr_excsave2_Slot_inst_encode.(x
2fdc0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
2fde0 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x61d200;.}..static.void
2fe00 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_rsr_epc3_Slot_inst_encod
2fe20 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
2fe40 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 lotbuf[0].=.0x3b300;.}..static.v
2fe60 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e oid.Opcode_wsr_epc3_Slot_inst_en
2fe80 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
2fea0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x13b300;.}..stat
2fec0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 ic.void.Opcode_xsr_epc3_Slot_ins
2fee0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
2ff00 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 33 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x61b300;.}..
2ff20 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f static.void.Opcode_rsr_excsave3_
2ff40 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
2ff60 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 64 33 .slotbuf).{...slotbuf[0].=.0x3d3
2ff80 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 00;.}..static.void.Opcode_wsr_ex
2ffa0 63 73 61 76 65 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f csave3_Slot_inst_encode.(xtensa_
2ffc0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
2ffe0 3d 20 30 78 31 33 64 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x13d300;.}..static.void.Opcod
30000 65 5f 78 73 72 5f 65 78 63 73 61 76 65 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 e_xsr_excsave3_Slot_inst_encode.
30020 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
30040 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x61d300;.}..static.vo
30060 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 id.Opcode_rsr_epc4_Slot_inst_enc
30080 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
300a0 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 .slotbuf[0].=.0x3b400;.}..static
300c0 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f .void.Opcode_wsr_epc4_Slot_inst_
300e0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
30100 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 34 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x13b400;.}..st
30120 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 atic.void.Opcode_xsr_epc4_Slot_i
30140 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
30160 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 34 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x61b400;.}
30180 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 ..static.void.Opcode_rsr_excsave
301a0 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 4_Slot_inst_encode.(xtensa_insnb
301c0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 uf.slotbuf).{...slotbuf[0].=.0x3
301e0 64 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f d400;.}..static.void.Opcode_wsr_
30200 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 excsave4_Slot_inst_encode.(xtens
30220 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
30240 5d 20 3d 20 30 78 31 33 64 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x13d400;.}..static.void.Opc
30260 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ode_xsr_excsave4_Slot_inst_encod
30280 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
302a0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x61d400;.}..static.
302c0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 void.Opcode_rsr_epc5_Slot_inst_e
302e0 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
30300 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 ...slotbuf[0].=.0x3b500;.}..stat
30320 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 35 5f 53 6c 6f 74 5f 69 6e 73 ic.void.Opcode_wsr_epc5_Slot_ins
30340 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
30360 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 35 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x13b500;.}..
30380 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 35 5f 53 6c 6f 74 static.void.Opcode_xsr_epc5_Slot
303a0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
303c0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 35 30 30 3b tbuf).{...slotbuf[0].=.0x61b500;
303e0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 .}..static.void.Opcode_rsr_excsa
30400 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ve5_Slot_inst_encode.(xtensa_ins
30420 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
30440 78 33 64 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 x3d500;.}..static.void.Opcode_ws
30460 72 5f 65 78 63 73 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 r_excsave5_Slot_inst_encode.(xte
30480 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
304a0 5b 30 5d 20 3d 20 30 78 31 33 64 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x13d500;.}..static.void.O
304c0 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_xsr_excsave5_Slot_inst_enc
304e0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
30500 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x61d500;.}..stati
30520 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 36 5f 53 6c 6f 74 5f 69 6e 73 74 c.void.Opcode_rsr_epc6_Slot_inst
30540 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
30560 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 36 30 30 3b 0a 7d 0a 0a 73 74 .{...slotbuf[0].=.0x3b600;.}..st
30580 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 36 5f 53 6c 6f 74 5f 69 atic.void.Opcode_wsr_epc6_Slot_i
305a0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
305c0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 36 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x13b600;.}
305e0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 36 5f 53 6c ..static.void.Opcode_xsr_epc6_Sl
30600 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
30620 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 36 30 lotbuf).{...slotbuf[0].=.0x61b60
30640 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 0;.}..static.void.Opcode_rsr_exc
30660 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 save6_Slot_inst_encode.(xtensa_i
30680 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
306a0 20 30 78 33 64 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f .0x3d600;.}..static.void.Opcode_
306c0 77 73 72 5f 65 78 63 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 wsr_excsave6_Slot_inst_encode.(x
306e0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
30700 75 66 5b 30 5d 20 3d 20 30 78 31 33 64 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x13d600;.}..static.void
30720 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .Opcode_xsr_excsave6_Slot_inst_e
30740 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
30760 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 36 30 30 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x61d600;.}..sta
30780 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 37 5f 53 6c 6f 74 5f 69 6e tic.void.Opcode_rsr_epc7_Slot_in
307a0 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
307c0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 37 30 30 3b 0a 7d 0a 0a f).{...slotbuf[0].=.0x3b700;.}..
307e0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 37 5f 53 6c 6f 74 static.void.Opcode_wsr_epc7_Slot
30800 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
30820 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 37 30 30 3b tbuf).{...slotbuf[0].=.0x13b700;
30840 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 37 5f .}..static.void.Opcode_xsr_epc7_
30860 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
30880 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 .slotbuf).{...slotbuf[0].=.0x61b
308a0 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 700;.}..static.void.Opcode_rsr_e
308c0 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 xcsave7_Slot_inst_encode.(xtensa
308e0 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
30900 20 3d 20 30 78 33 64 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 .=.0x3d700;.}..static.void.Opcod
30920 65 5f 77 73 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 e_wsr_excsave7_Slot_inst_encode.
30940 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
30960 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 64 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x13d700;.}..static.vo
30980 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 id.Opcode_xsr_excsave7_Slot_inst
309a0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
309c0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 37 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x61d700;.}..s
309e0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 32 5f 53 6c 6f 74 5f tatic.void.Opcode_rsr_eps2_Slot_
30a00 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
30a20 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 32 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x3c200;.}
30a40 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 32 5f 53 6c ..static.void.Opcode_wsr_eps2_Sl
30a60 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
30a80 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 32 30 lotbuf).{...slotbuf[0].=.0x13c20
30aa0 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 0;.}..static.void.Opcode_xsr_eps
30ac0 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 2_Slot_inst_encode.(xtensa_insnb
30ae0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 uf.slotbuf).{...slotbuf[0].=.0x6
30b00 31 63 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 1c200;.}..static.void.Opcode_rsr
30b20 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 _eps3_Slot_inst_encode.(xtensa_i
30b40 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
30b60 20 30 78 33 63 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f .0x3c300;.}..static.void.Opcode_
30b80 77 73 72 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 wsr_eps3_Slot_inst_encode.(xtens
30ba0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
30bc0 5d 20 3d 20 30 78 31 33 63 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x13c300;.}..static.void.Opc
30be0 6f 64 65 5f 78 73 72 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 ode_xsr_eps3_Slot_inst_encode.(x
30c00 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
30c20 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x61c300;.}..static.void
30c40 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_rsr_eps4_Slot_inst_encod
30c60 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
30c80 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 lotbuf[0].=.0x3c400;.}..static.v
30ca0 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e oid.Opcode_wsr_eps4_Slot_inst_en
30cc0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
30ce0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x13c400;.}..stat
30d00 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f 69 6e 73 ic.void.Opcode_xsr_eps4_Slot_ins
30d20 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
30d40 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 34 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x61c400;.}..
30d60 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 35 5f 53 6c 6f 74 static.void.Opcode_rsr_eps5_Slot
30d80 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
30da0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 35 30 30 3b 0a tbuf).{...slotbuf[0].=.0x3c500;.
30dc0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 35 5f 53 }..static.void.Opcode_wsr_eps5_S
30de0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
30e00 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 35 slotbuf).{...slotbuf[0].=.0x13c5
30e20 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 00;.}..static.void.Opcode_xsr_ep
30e40 73 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e s5_Slot_inst_encode.(xtensa_insn
30e60 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
30e80 36 31 63 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 61c500;.}..static.void.Opcode_rs
30ea0 72 5f 65 70 73 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f r_eps6_Slot_inst_encode.(xtensa_
30ec0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
30ee0 3d 20 30 78 33 63 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 =.0x3c600;.}..static.void.Opcode
30f00 5f 77 73 72 5f 65 70 73 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e _wsr_eps6_Slot_inst_encode.(xten
30f20 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
30f40 30 5d 20 3d 20 30 78 31 33 63 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 0].=.0x13c600;.}..static.void.Op
30f60 63 6f 64 65 5f 78 73 72 5f 65 70 73 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 code_xsr_eps6_Slot_inst_encode.(
30f80 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
30fa0 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x61c600;.}..static.voi
30fc0 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f d.Opcode_rsr_eps7_Slot_inst_enco
30fe0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
31000 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 slotbuf[0].=.0x3c700;.}..static.
31020 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 void.Opcode_wsr_eps7_Slot_inst_e
31040 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
31060 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 37 30 30 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x13c700;.}..sta
31080 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e tic.void.Opcode_xsr_eps7_Slot_in
310a0 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
310c0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 37 30 30 3b 0a 7d 0a f).{...slotbuf[0].=.0x61c700;.}.
310e0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 76 61 64 64 72 .static.void.Opcode_rsr_excvaddr
31100 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
31120 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 f.slotbuf).{...slotbuf[0].=.0x3e
31140 65 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 e00;.}..static.void.Opcode_wsr_e
31160 78 63 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 xcvaddr_Slot_inst_encode.(xtensa
31180 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
311a0 20 3d 20 30 78 31 33 65 65 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f .=.0x13ee00;.}..static.void.Opco
311c0 64 65 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 de_xsr_excvaddr_Slot_inst_encode
311e0 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
31200 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 65 65 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 otbuf[0].=.0x61ee00;.}..static.v
31220 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e oid.Opcode_rsr_depc_Slot_inst_en
31240 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
31260 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ..slotbuf[0].=.0x3c000;.}..stati
31280 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 c.void.Opcode_wsr_depc_Slot_inst
312a0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
312c0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 30 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x13c000;.}..s
312e0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f tatic.void.Opcode_xsr_depc_Slot_
31300 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
31320 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 30 30 30 3b 0a buf).{...slotbuf[0].=.0x61c000;.
31340 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 63 61 75 }..static.void.Opcode_rsr_exccau
31360 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e se_Slot_inst_encode.(xtensa_insn
31380 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
313a0 33 65 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 3e800;.}..static.void.Opcode_wsr
313c0 5f 65 78 63 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e _exccause_Slot_inst_encode.(xten
313e0 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
31400 30 5d 20 3d 20 30 78 31 33 65 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 0].=.0x13e800;.}..static.void.Op
31420 63 6f 64 65 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_xsr_exccause_Slot_inst_enco
31440 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
31460 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 65 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x61e800;.}..static
31480 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 .void.Opcode_rsr_misc0_Slot_inst
314a0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
314c0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 66 34 30 30 3b 0a 7d 0a 0a 73 74 .{...slotbuf[0].=.0x3f400;.}..st
314e0 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 69 73 63 30 5f 53 6c 6f 74 5f atic.void.Opcode_wsr_misc0_Slot_
31500 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
31520 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 66 34 30 30 3b 0a buf).{...slotbuf[0].=.0x13f400;.
31540 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 69 73 63 30 5f }..static.void.Opcode_xsr_misc0_
31560 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
31580 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 .slotbuf).{...slotbuf[0].=.0x61f
315a0 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 400;.}..static.void.Opcode_rsr_m
315c0 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e isc1_Slot_inst_encode.(xtensa_in
315e0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
31600 30 78 33 66 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 0x3f500;.}..static.void.Opcode_w
31620 73 72 5f 6d 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 sr_misc1_Slot_inst_encode.(xtens
31640 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
31660 5d 20 3d 20 30 78 31 33 66 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x13f500;.}..static.void.Opc
31680 6f 64 65 5f 78 73 72 5f 6d 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 ode_xsr_misc1_Slot_inst_encode.(
316a0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
316c0 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x61f500;.}..static.voi
316e0 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 70 72 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f d.Opcode_rsr_prid_Slot_inst_enco
31700 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
31720 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 62 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 slotbuf[0].=.0x3eb00;.}..static.
31740 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 void.Opcode_rsr_vecbase_Slot_ins
31760 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
31780 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 37 30 30 3b 0a 7d 0a 0a 73 ).{...slotbuf[0].=.0x3e700;.}..s
317a0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 53 6c tatic.void.Opcode_wsr_vecbase_Sl
317c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
317e0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 37 30 lotbuf).{...slotbuf[0].=.0x13e70
31800 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 76 65 63 0;.}..static.void.Opcode_xsr_vec
31820 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e base_Slot_inst_encode.(xtensa_in
31840 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
31860 30 78 36 31 65 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x61e700;.}..static.void.Opcode_
31880 6d 75 6c 31 36 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f mul16u_Slot_inst_encode.(xtensa_
318a0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
318c0 3d 20 30 78 63 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0xc10000;.}..static.void.Opcod
318e0 65 5f 6d 75 6c 31 36 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 e_mul16s_Slot_inst_encode.(xtens
31900 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
31920 5d 20 3d 20 30 78 64 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0xd10000;.}..static.void.Opc
31940 6f 64 65 5f 6d 75 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ode_mull_Slot_inst_encode.(xtens
31960 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
31980 5d 20 3d 20 30 78 38 32 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x820000;.}..static.void.Opc
319a0 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 ode_mul_aa_ll_Slot_inst_encode.(
319c0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
319e0 62 75 66 5b 30 5d 20 3d 20 30 78 37 34 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x740004;.}..static.voi
31a00 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 d.Opcode_mul_aa_hl_Slot_inst_enc
31a20 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
31a40 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 35 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x750004;.}..stati
31a60 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 c.void.Opcode_mul_aa_lh_Slot_ins
31a80 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
31aa0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 36 30 30 30 34 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x760004;.}..
31ac0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 68 5f 53 6c 6f static.void.Opcode_mul_aa_hh_Slo
31ae0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
31b00 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 37 30 30 30 34 otbuf).{...slotbuf[0].=.0x770004
31b20 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f ;.}..static.void.Opcode_umul_aa_
31b40 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e ll_Slot_inst_encode.(xtensa_insn
31b60 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
31b80 37 30 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 75 6d 700004;.}..static.void.Opcode_um
31ba0 75 6c 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ul_aa_hl_Slot_inst_encode.(xtens
31bc0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
31be0 5d 20 3d 20 30 78 37 31 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x710004;.}..static.void.Opc
31c00 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 ode_umul_aa_lh_Slot_inst_encode.
31c20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
31c40 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 32 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x720004;.}..static.vo
31c60 69 64 0a 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 id.Opcode_umul_aa_hh_Slot_inst_e
31c80 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
31ca0 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 33 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x730004;.}..sta
31cc0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 tic.void.Opcode_mul_ad_ll_Slot_i
31ce0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
31d00 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 34 30 30 30 34 3b 0a 7d uf).{...slotbuf[0].=.0x340004;.}
31d20 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 6c 5f 53 ..static.void.Opcode_mul_ad_hl_S
31d40 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
31d60 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 30 30 slotbuf).{...slotbuf[0].=.0x3500
31d80 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 04;.}..static.void.Opcode_mul_ad
31da0 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 _lh_Slot_inst_encode.(xtensa_ins
31dc0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
31de0 78 33 36 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d x360004;.}..static.void.Opcode_m
31e00 75 6c 5f 61 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ul_ad_hh_Slot_inst_encode.(xtens
31e20 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
31e40 5d 20 3d 20 30 78 33 37 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x370004;.}..static.void.Opc
31e60 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 ode_mul_da_ll_Slot_inst_encode.(
31e80 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
31ea0 62 75 66 5b 30 5d 20 3d 20 30 78 36 34 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x640004;.}..static.voi
31ec0 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 d.Opcode_mul_da_hl_Slot_inst_enc
31ee0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
31f00 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 35 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x650004;.}..stati
31f20 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 c.void.Opcode_mul_da_lh_Slot_ins
31f40 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
31f60 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 36 30 30 30 34 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x660004;.}..
31f80 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 68 5f 53 6c 6f static.void.Opcode_mul_da_hh_Slo
31fa0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
31fc0 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 37 30 30 30 34 otbuf).{...slotbuf[0].=.0x670004
31fe0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 6c ;.}..static.void.Opcode_mul_dd_l
32000 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 l_Slot_inst_encode.(xtensa_insnb
32020 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 uf.slotbuf).{...slotbuf[0].=.0x2
32040 34 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 40004;.}..static.void.Opcode_mul
32060 5f 64 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f _dd_hl_Slot_inst_encode.(xtensa_
32080 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
320a0 3d 20 30 78 32 35 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x250004;.}..static.void.Opcod
320c0 65 5f 6d 75 6c 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 e_mul_dd_lh_Slot_inst_encode.(xt
320e0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
32100 66 5b 30 5d 20 3d 20 30 78 32 36 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x260004;.}..static.void.
32120 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_dd_hh_Slot_inst_encod
32140 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
32160 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 37 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x270004;.}..static.
32180 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 void.Opcode_mula_aa_ll_Slot_inst
321a0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
321c0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 38 30 30 30 34 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x780004;.}..s
321e0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 6c 5f 53 6c 6f tatic.void.Opcode_mula_aa_hl_Slo
32200 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
32220 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 39 30 30 30 34 otbuf).{...slotbuf[0].=.0x790004
32240 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f ;.}..static.void.Opcode_mula_aa_
32260 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e lh_Slot_inst_encode.(xtensa_insn
32280 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
322a0 37 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 7a0004;.}..static.void.Opcode_mu
322c0 6c 61 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 la_aa_hh_Slot_inst_encode.(xtens
322e0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
32300 5d 20 3d 20 30 78 37 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x7b0004;.}..static.void.Opc
32320 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 ode_muls_aa_ll_Slot_inst_encode.
32340 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
32360 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 63 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x7c0004;.}..static.vo
32380 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 id.Opcode_muls_aa_hl_Slot_inst_e
323a0 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
323c0 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 64 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x7d0004;.}..sta
323e0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f tic.void.Opcode_muls_aa_lh_Slot_
32400 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
32420 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 65 30 30 30 34 3b 0a buf).{...slotbuf[0].=.0x7e0004;.
32440 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 68 }..static.void.Opcode_muls_aa_hh
32460 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
32480 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 66 f.slotbuf).{...slotbuf[0].=.0x7f
324a0 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 0004;.}..static.void.Opcode_mula
324c0 5f 61 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f _ad_ll_Slot_inst_encode.(xtensa_
324e0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
32500 3d 20 30 78 33 38 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x380004;.}..static.void.Opcod
32520 65 5f 6d 75 6c 61 5f 61 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 e_mula_ad_hl_Slot_inst_encode.(x
32540 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
32560 75 66 5b 30 5d 20 3d 20 30 78 33 39 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x390004;.}..static.void
32580 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_mula_ad_lh_Slot_inst_enc
325a0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
325c0 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x3a0004;.}..stati
325e0 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e c.void.Opcode_mula_ad_hh_Slot_in
32600 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
32620 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 30 30 30 34 3b 0a 7d 0a f).{...slotbuf[0].=.0x3b0004;.}.
32640 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 6c 5f 53 .static.void.Opcode_muls_ad_ll_S
32660 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
32680 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 30 30 slotbuf).{...slotbuf[0].=.0x3c00
326a0 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 04;.}..static.void.Opcode_muls_a
326c0 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e d_hl_Slot_inst_encode.(xtensa_in
326e0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
32700 30 78 33 64 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x3d0004;.}..static.void.Opcode_
32720 6d 75 6c 73 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 muls_ad_lh_Slot_inst_encode.(xte
32740 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
32760 5b 30 5d 20 3d 20 30 78 33 65 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x3e0004;.}..static.void.O
32780 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_muls_ad_hh_Slot_inst_encod
327a0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
327c0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 66 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x3f0004;.}..static.
327e0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 void.Opcode_mula_da_ll_Slot_inst
32800 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
32820 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 38 30 30 30 34 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x680004;.}..s
32840 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 53 6c 6f tatic.void.Opcode_mula_da_hl_Slo
32860 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
32880 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 39 30 30 30 34 otbuf).{...slotbuf[0].=.0x690004
328a0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f ;.}..static.void.Opcode_mula_da_
328c0 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e lh_Slot_inst_encode.(xtensa_insn
328e0 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
32900 36 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6a0004;.}..static.void.Opcode_mu
32920 6c 61 5f 64 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 la_da_hh_Slot_inst_encode.(xtens
32940 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
32960 5d 20 3d 20 30 78 36 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x6b0004;.}..static.void.Opc
32980 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 ode_muls_da_ll_Slot_inst_encode.
329a0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
329c0 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 63 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x6c0004;.}..static.vo
329e0 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 id.Opcode_muls_da_hl_Slot_inst_e
32a00 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
32a20 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 64 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x6d0004;.}..sta
32a40 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c 68 5f 53 6c 6f 74 5f tic.void.Opcode_muls_da_lh_Slot_
32a60 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
32a80 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 65 30 30 30 34 3b 0a buf).{...slotbuf[0].=.0x6e0004;.
32aa0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 68 }..static.void.Opcode_muls_da_hh
32ac0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
32ae0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 66 f.slotbuf).{...slotbuf[0].=.0x6f
32b00 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 0004;.}..static.void.Opcode_mula
32b20 5f 64 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f _dd_ll_Slot_inst_encode.(xtensa_
32b40 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
32b60 3d 20 30 78 32 38 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x280004;.}..static.void.Opcod
32b80 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 e_mula_dd_hl_Slot_inst_encode.(x
32ba0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
32bc0 75 66 5b 30 5d 20 3d 20 30 78 32 39 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x290004;.}..static.void
32be0 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_mula_dd_lh_Slot_inst_enc
32c00 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
32c20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x2a0004;.}..stati
32c40 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e c.void.Opcode_mula_dd_hh_Slot_in
32c60 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
32c80 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 62 30 30 30 34 3b 0a 7d 0a f).{...slotbuf[0].=.0x2b0004;.}.
32ca0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 6c 5f 53 .static.void.Opcode_muls_dd_ll_S
32cc0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
32ce0 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 63 30 30 slotbuf).{...slotbuf[0].=.0x2c00
32d00 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 04;.}..static.void.Opcode_muls_d
32d20 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e d_hl_Slot_inst_encode.(xtensa_in
32d40 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
32d60 30 78 32 64 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x2d0004;.}..static.void.Opcode_
32d80 6d 75 6c 73 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 muls_dd_lh_Slot_inst_encode.(xte
32da0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
32dc0 5b 30 5d 20 3d 20 30 78 32 65 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x2e0004;.}..static.void.O
32de0 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_muls_dd_hh_Slot_inst_encod
32e00 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
32e20 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 66 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x2f0004;.}..static.
32e40 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 6c 64 64 65 63 5f 53 6c 6f void.Opcode_mula_da_ll_lddec_Slo
32e60 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
32e80 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 38 30 30 30 34 otbuf).{...slotbuf[0].=.0x580004
32ea0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f ;.}..static.void.Opcode_mula_da_
32ec0 6c 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ll_ldinc_Slot_inst_encode.(xtens
32ee0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
32f00 5d 20 3d 20 30 78 34 38 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x480004;.}..static.void.Opc
32f20 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ode_mula_da_hl_lddec_Slot_inst_e
32f40 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
32f60 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 39 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x590004;.}..sta
32f80 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 69 6e 63 tic.void.Opcode_mula_da_hl_ldinc
32fa0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
32fc0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 39 f.slotbuf).{...slotbuf[0].=.0x49
32fe0 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 0004;.}..static.void.Opcode_mula
33000 5f 64 61 5f 6c 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 _da_lh_lddec_Slot_inst_encode.(x
33020 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
33040 75 66 5b 30 5d 20 3d 20 30 78 35 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x5a0004;.}..static.void
33060 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e .Opcode_mula_da_lh_ldinc_Slot_in
33080 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
330a0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 61 30 30 30 34 3b 0a 7d 0a f).{...slotbuf[0].=.0x4a0004;.}.
330c0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c .static.void.Opcode_mula_da_hh_l
330e0 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e ddec_Slot_inst_encode.(xtensa_in
33100 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
33120 30 78 35 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x5b0004;.}..static.void.Opcode_
33140 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 mula_da_hh_ldinc_Slot_inst_encod
33160 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
33180 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x4b0004;.}..static.
331a0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 64 65 63 5f 53 6c 6f void.Opcode_mula_dd_ll_lddec_Slo
331c0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
331e0 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 38 30 30 30 34 otbuf).{...slotbuf[0].=.0x180004
33200 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f ;.}..static.void.Opcode_mula_dd_
33220 6c 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ll_ldinc_Slot_inst_encode.(xtens
33240 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
33260 5d 20 3d 20 30 78 38 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f ].=.0x80004;.}..static.void.Opco
33280 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e de_mula_dd_hl_lddec_Slot_inst_en
332a0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
332c0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 39 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x190004;.}..stat
332e0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 69 6e 63 5f ic.void.Opcode_mula_dd_hl_ldinc_
33300 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
33320 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 30 .slotbuf).{...slotbuf[0].=.0x900
33340 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 04;.}..static.void.Opcode_mula_d
33360 64 5f 6c 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 d_lh_lddec_Slot_inst_encode.(xte
33380 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
333a0 5b 30 5d 20 3d 20 30 78 31 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x1a0004;.}..static.void.O
333c0 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 pcode_mula_dd_lh_ldinc_Slot_inst
333e0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
33400 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 .{...slotbuf[0].=.0xa0004;.}..st
33420 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 64 65 atic.void.Opcode_mula_dd_hh_ldde
33440 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 c_Slot_inst_encode.(xtensa_insnb
33460 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
33480 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c b0004;.}..static.void.Opcode_mul
334a0 61 5f 64 64 5f 68 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 a_dd_hh_ldinc_Slot_inst_encode.(
334c0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
334e0 62 75 66 5b 30 5d 20 3d 20 30 78 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 buf[0].=.0xb0004;.}..static.void
33500 0a 4f 70 63 6f 64 65 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 .Opcode_lddec_Slot_inst_encode.(
33520 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
33540 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x900004;.}..static.voi
33560 64 0a 4f 70 63 6f 64 65 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 d.Opcode_ldinc_Slot_inst_encode.
33580 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
335a0 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x800004;.}..static.vo
335c0 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 id.Opcode_rsr_m0_Slot_inst_encod
335e0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
33600 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 lotbuf[0].=.0x32000;.}..static.v
33620 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f oid.Opcode_wsr_m0_Slot_inst_enco
33640 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
33660 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x132000;.}..static
33680 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e .void.Opcode_xsr_m0_Slot_inst_en
336a0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
336c0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x612000;.}..stat
336e0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f ic.void.Opcode_rsr_m1_Slot_inst_
33700 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
33720 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 32 31 30 30 3b 0a 7d 0a 0a 73 74 61 {...slotbuf[0].=.0x32100;.}..sta
33740 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 tic.void.Opcode_wsr_m1_Slot_inst
33760 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
33780 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 32 31 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x132100;.}..s
337a0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e tatic.void.Opcode_xsr_m1_Slot_in
337c0 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
337e0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 32 31 30 30 3b 0a 7d 0a f).{...slotbuf[0].=.0x612100;.}.
33800 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 32 5f 53 6c 6f 74 5f .static.void.Opcode_rsr_m2_Slot_
33820 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
33840 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 32 32 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x32200;.}
33860 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 32 5f 53 6c 6f 74 ..static.void.Opcode_wsr_m2_Slot
33880 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
338a0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 32 32 30 30 3b tbuf).{...slotbuf[0].=.0x132200;
338c0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 32 5f 53 6c .}..static.void.Opcode_xsr_m2_Sl
338e0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
33900 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 32 32 30 lotbuf).{...slotbuf[0].=.0x61220
33920 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 33 5f 0;.}..static.void.Opcode_rsr_m3_
33940 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
33960 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 32 33 .slotbuf).{...slotbuf[0].=.0x323
33980 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 33 00;.}..static.void.Opcode_wsr_m3
339a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
339c0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 f.slotbuf).{...slotbuf[0].=.0x13
339e0 32 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 2300;.}..static.void.Opcode_xsr_
33a00 6d 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e m3_Slot_inst_encode.(xtensa_insn
33a20 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
33a40 36 31 32 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 612300;.}..static.void.Opcode_rs
33a60 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 r_acclo_Slot_inst_encode.(xtensa
33a80 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
33aa0 20 3d 20 30 78 33 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 .=.0x31000;.}..static.void.Opcod
33ac0 65 5f 77 73 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 e_wsr_acclo_Slot_inst_encode.(xt
33ae0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
33b00 66 5b 30 5d 20 3d 20 30 78 31 33 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x131000;.}..static.void.
33b20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_xsr_acclo_Slot_inst_encod
33b40 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
33b60 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x611000;.}..static.
33b80 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f void.Opcode_rsr_acchi_Slot_inst_
33ba0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
33bc0 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 31 31 30 30 3b 0a 7d 0a 0a 73 74 61 {...slotbuf[0].=.0x31100;.}..sta
33be0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 61 63 63 68 69 5f 53 6c 6f 74 5f 69 tic.void.Opcode_wsr_acchi_Slot_i
33c00 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
33c20 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 31 31 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x131100;.}
33c40 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 61 63 63 68 69 5f 53 ..static.void.Opcode_xsr_acchi_S
33c60 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
33c80 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 31 31 slotbuf).{...slotbuf[0].=.0x6111
33ca0 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 69 5f 53 6c 00;.}..static.void.Opcode_rfi_Sl
33cc0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
33ce0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 31 30 3b lotbuf).{...slotbuf[0].=.0x3010;
33d00 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 61 69 74 69 5f 53 6c 6f .}..static.void.Opcode_waiti_Slo
33d20 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
33d40 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 30 30 3b 0a otbuf).{...slotbuf[0].=.0x7000;.
33d60 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 72 72 }..static.void.Opcode_rsr_interr
33d80 75 70 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 upt_Slot_inst_encode.(xtensa_ins
33da0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
33dc0 78 33 65 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 x3e200;.}..static.void.Opcode_ws
33de0 72 5f 69 6e 74 73 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 r_intset_Slot_inst_encode.(xtens
33e00 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
33e20 5d 20 3d 20 30 78 31 33 65 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x13e200;.}..static.void.Opc
33e40 6f 64 65 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ode_wsr_intclear_Slot_inst_encod
33e60 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
33e80 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x13e300;.}..static.
33ea0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 void.Opcode_rsr_intenable_Slot_i
33ec0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
33ee0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 34 30 30 3b 0a 7d 0a uf).{...slotbuf[0].=.0x3e400;.}.
33f00 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c .static.void.Opcode_wsr_intenabl
33f20 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 e_Slot_inst_encode.(xtensa_insnb
33f40 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
33f60 33 65 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 3e400;.}..static.void.Opcode_xsr
33f80 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 _intenable_Slot_inst_encode.(xte
33fa0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
33fc0 5b 30 5d 20 3d 20 30 78 36 31 65 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x61e400;.}..static.void.O
33fe0 70 63 6f 64 65 5f 62 72 65 61 6b 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 pcode_break_Slot_inst_encode.(xt
34000 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
34020 66 5b 30 5d 20 3d 20 30 78 34 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 f[0].=.0x4000;.}..static.void.Op
34040 63 6f 64 65 5f 62 72 65 61 6b 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 code_break_n_Slot_inst16b_encode
34060 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
34080 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 32 64 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 otbuf[0].=.0xf02d;.}..static.voi
340a0 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f d.Opcode_rsr_dbreaka0_Slot_inst_
340c0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
340e0 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 39 30 30 30 3b 0a 7d 0a 0a 73 74 61 {...slotbuf[0].=.0x39000;.}..sta
34100 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 53 6c 6f tic.void.Opcode_wsr_dbreaka0_Slo
34120 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
34140 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 39 30 30 30 otbuf).{...slotbuf[0].=.0x139000
34160 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 ;.}..static.void.Opcode_xsr_dbre
34180 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e aka0_Slot_inst_encode.(xtensa_in
341a0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
341c0 30 78 36 31 39 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x619000;.}..static.void.Opcode_
341e0 72 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 rsr_dbreakc0_Slot_inst_encode.(x
34200 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
34220 75 66 5b 30 5d 20 3d 20 30 78 33 61 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a uf[0].=.0x3a000;.}..static.void.
34240 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e Opcode_wsr_dbreakc0_Slot_inst_en
34260 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
34280 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 61 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x13a000;.}..stat
342a0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 ic.void.Opcode_xsr_dbreakc0_Slot
342c0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
342e0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 61 30 30 30 3b tbuf).{...slotbuf[0].=.0x61a000;
34300 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 .}..static.void.Opcode_rsr_dbrea
34320 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ka1_Slot_inst_encode.(xtensa_ins
34340 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
34360 78 33 39 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 x39100;.}..static.void.Opcode_ws
34380 72 5f 64 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 r_dbreaka1_Slot_inst_encode.(xte
343a0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
343c0 5b 30 5d 20 3d 20 30 78 31 33 39 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x139100;.}..static.void.O
343e0 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_xsr_dbreaka1_Slot_inst_enc
34400 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
34420 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 39 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x619100;.}..stati
34440 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 53 6c 6f 74 5f c.void.Opcode_rsr_dbreakc1_Slot_
34460 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
34480 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 61 31 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x3a100;.}
344a0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 ..static.void.Opcode_wsr_dbreakc
344c0 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 1_Slot_inst_encode.(xtensa_insnb
344e0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
34500 33 61 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 3a100;.}..static.void.Opcode_xsr
34520 5f 64 62 72 65 61 6b 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e _dbreakc1_Slot_inst_encode.(xten
34540 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
34560 30 5d 20 3d 20 30 78 36 31 61 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 0].=.0x61a100;.}..static.void.Op
34580 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_rsr_ibreaka0_Slot_inst_enco
345a0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
345c0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 38 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 slotbuf[0].=.0x38000;.}..static.
345e0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e void.Opcode_wsr_ibreaka0_Slot_in
34600 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
34620 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 38 30 30 30 3b 0a 7d 0a f).{...slotbuf[0].=.0x138000;.}.
34640 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 .static.void.Opcode_xsr_ibreaka0
34660 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
34680 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 f.slotbuf).{...slotbuf[0].=.0x61
346a0 38 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 8000;.}..static.void.Opcode_rsr_
346c0 69 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ibreaka1_Slot_inst_encode.(xtens
346e0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
34700 5d 20 3d 20 30 78 33 38 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f ].=.0x38100;.}..static.void.Opco
34720 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 de_wsr_ibreaka1_Slot_inst_encode
34740 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
34760 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 38 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 otbuf[0].=.0x138100;.}..static.v
34780 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 oid.Opcode_xsr_ibreaka1_Slot_ins
347a0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
347c0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 38 31 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x618100;.}..
347e0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 static.void.Opcode_rsr_ibreakena
34800 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ble_Slot_inst_encode.(xtensa_ins
34820 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
34840 78 33 36 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 x36000;.}..static.void.Opcode_ws
34860 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 r_ibreakenable_Slot_inst_encode.
34880 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
348a0 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 36 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x136000;.}..static.vo
348c0 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f id.Opcode_xsr_ibreakenable_Slot_
348e0 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
34900 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 36 30 30 30 3b 0a buf).{...slotbuf[0].=.0x616000;.
34920 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 65 62 75 67 63 }..static.void.Opcode_rsr_debugc
34940 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e ause_Slot_inst_encode.(xtensa_in
34960 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
34980 30 78 33 65 39 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 0x3e900;.}..static.void.Opcode_w
349a0 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 sr_debugcause_Slot_inst_encode.(
349c0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
349e0 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 39 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x13e900;.}..static.voi
34a00 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 d.Opcode_xsr_debugcause_Slot_ins
34a20 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
34a40 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 65 39 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x61e900;.}..
34a60 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 5f 53 6c static.void.Opcode_rsr_icount_Sl
34a80 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
34aa0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 63 30 30 lotbuf).{...slotbuf[0].=.0x3ec00
34ac0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 63 6f 75 ;.}..static.void.Opcode_wsr_icou
34ae0 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e nt_Slot_inst_encode.(xtensa_insn
34b00 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
34b20 31 33 65 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 13ec00;.}..static.void.Opcode_xs
34b40 72 5f 69 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 r_icount_Slot_inst_encode.(xtens
34b60 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
34b80 5d 20 3d 20 30 78 36 31 65 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x61ec00;.}..static.void.Opc
34ba0 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ode_rsr_icountlevel_Slot_inst_en
34bc0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
34be0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 64 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ..slotbuf[0].=.0x3ed00;.}..stati
34c00 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c c.void.Opcode_wsr_icountlevel_Sl
34c20 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
34c40 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 64 30 lotbuf).{...slotbuf[0].=.0x13ed0
34c60 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 69 63 6f 0;.}..static.void.Opcode_xsr_ico
34c80 75 6e 74 6c 65 76 65 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 untlevel_Slot_inst_encode.(xtens
34ca0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
34cc0 5d 20 3d 20 30 78 36 31 65 64 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x61ed00;.}..static.void.Opc
34ce0 6f 64 65 5f 72 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 ode_rsr_ddr_Slot_inst_encode.(xt
34d00 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
34d20 66 5b 30 5d 20 3d 20 30 78 33 36 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f f[0].=.0x36800;.}..static.void.O
34d40 70 63 6f 64 65 5f 77 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 pcode_wsr_ddr_Slot_inst_encode.(
34d60 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
34d80 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 36 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x136800;.}..static.voi
34da0 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 d.Opcode_xsr_ddr_Slot_inst_encod
34dc0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
34de0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 36 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x616800;.}..static.
34e00 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 64 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 void.Opcode_rfdo_Slot_inst_encod
34e20 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
34e40 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0xf1e000;.}..static.
34e60 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 64 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 void.Opcode_rfdd_Slot_inst_encod
34e80 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
34ea0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 65 30 31 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0xf1e010;.}..static.
34ec0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 6d 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 void.Opcode_wsr_mmid_Slot_inst_e
34ee0 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
34f00 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 35 39 30 30 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x135900;.}..sta
34f20 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 75 6e 74 5f 53 6c 6f 74 5f tic.void.Opcode_rsr_ccount_Slot_
34f40 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
34f60 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 61 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x3ea00;.}
34f80 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 75 6e 74 5f ..static.void.Opcode_wsr_ccount_
34fa0 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
34fc0 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 .slotbuf).{...slotbuf[0].=.0x13e
34fe0 61 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 63 a00;.}..static.void.Opcode_xsr_c
35000 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 count_Slot_inst_encode.(xtensa_i
35020 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
35040 20 30 78 36 31 65 61 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x61ea00;.}..static.void.Opcode
35060 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 _rsr_ccompare0_Slot_inst_encode.
35080 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
350a0 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 66 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 tbuf[0].=.0x3f000;.}..static.voi
350c0 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c 6f 74 5f 69 6e 73 74 d.Opcode_wsr_ccompare0_Slot_inst
350e0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
35100 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 66 30 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x13f000;.}..s
35120 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f tatic.void.Opcode_xsr_ccompare0_
35140 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
35160 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 .slotbuf).{...slotbuf[0].=.0x61f
35180 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 000;.}..static.void.Opcode_rsr_c
351a0 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 compare1_Slot_inst_encode.(xtens
351c0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
351e0 5d 20 3d 20 30 78 33 66 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f ].=.0x3f100;.}..static.void.Opco
35200 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 de_wsr_ccompare1_Slot_inst_encod
35220 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
35240 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 66 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x13f100;.}..static.
35260 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 void.Opcode_xsr_ccompare1_Slot_i
35280 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
352a0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 31 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x61f100;.}
352c0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 ..static.void.Opcode_rsr_ccompar
352e0 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e e2_Slot_inst_encode.(xtensa_insn
35300 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
35320 33 66 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 3f200;.}..static.void.Opcode_wsr
35340 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 _ccompare2_Slot_inst_encode.(xte
35360 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
35380 5b 30 5d 20 3d 20 30 78 31 33 66 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x13f200;.}..static.void.O
353a0 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e pcode_xsr_ccompare2_Slot_inst_en
353c0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
353e0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x61f200;.}..stat
35400 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 70 66 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ic.void.Opcode_ipf_Slot_inst_enc
35420 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
35440 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 63 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .slotbuf[0].=.0x70c2;.}..static.
35460 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 void.Opcode_ihi_Slot_inst_encode
35480 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
354a0 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 65 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 otbuf[0].=.0x70e2;.}..static.voi
354c0 64 0a 4f 70 63 6f 64 65 5f 69 70 66 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 d.Opcode_ipfl_Slot_inst_encode.(
354e0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
35500 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 64 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a buf[0].=.0x70d2;.}..static.void.
35520 4f 70 63 6f 64 65 5f 69 68 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 Opcode_ihu_Slot_inst_encode.(xte
35540 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
35560 5b 30 5d 20 3d 20 30 78 32 37 30 64 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 [0].=.0x270d2;.}..static.void.Op
35580 63 6f 64 65 5f 69 69 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 code_iiu_Slot_inst_encode.(xtens
355a0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
355c0 5d 20 3d 20 30 78 33 37 30 64 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f ].=.0x370d2;.}..static.void.Opco
355e0 64 65 5f 69 69 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f de_iii_Slot_inst_encode.(xtensa_
35600 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
35620 3d 20 30 78 37 30 66 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f =.0x70f2;.}..static.void.Opcode_
35640 6c 69 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e lict_Slot_inst_encode.(xtensa_in
35660 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
35680 30 78 66 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf10000;.}..static.void.Opcode_
356a0 6c 69 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e licw_Slot_inst_encode.(xtensa_in
356c0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
356e0 30 78 66 31 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf12000;.}..static.void.Opcode_
35700 73 69 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e sict_Slot_inst_encode.(xtensa_in
35720 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
35740 30 78 66 31 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf11000;.}..static.void.Opcode_
35760 73 69 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e sicw_Slot_inst_encode.(xtensa_in
35780 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
357a0 30 78 66 31 33 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf13000;.}..static.void.Opcode_
357c0 64 68 77 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e dhwb_Slot_inst_encode.(xtensa_in
357e0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
35800 30 78 37 30 34 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 68 0x7042;.}..static.void.Opcode_dh
35820 77 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 wbi_Slot_inst_encode.(xtensa_ins
35840 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
35860 78 37 30 35 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 69 77 x7052;.}..static.void.Opcode_diw
35880 62 75 69 5f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 bui_p_Slot_inst_encode.(xtensa_i
358a0 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
358c0 20 30 78 66 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f .0xf7082;.}..static.void.Opcode_
358e0 64 69 77 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e diwb_Slot_inst_encode.(xtensa_in
35900 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
35920 30 78 34 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 0x47082;.}..static.void.Opcode_d
35940 69 77 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e iwbi_Slot_inst_encode.(xtensa_in
35960 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
35980 30 78 35 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 0x57082;.}..static.void.Opcode_d
359a0 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e hi_Slot_inst_encode.(xtensa_insn
359c0 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
359e0 37 30 36 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 69 69 5f 7062;.}..static.void.Opcode_dii_
35a00 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
35a20 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 37 .slotbuf).{...slotbuf[0].=.0x707
35a40 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 72 5f 53 6c 2;.}..static.void.Opcode_dpfr_Sl
35a60 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
35a80 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 30 32 3b lotbuf).{...slotbuf[0].=.0x7002;
35aa0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 77 5f 53 6c 6f 74 .}..static.void.Opcode_dpfw_Slot
35ac0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
35ae0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 31 32 3b 0a 7d tbuf).{...slotbuf[0].=.0x7012;.}
35b00 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 72 6f 5f 53 6c 6f 74 5f ..static.void.Opcode_dpfro_Slot_
35b20 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
35b40 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 32 32 3b 0a 7d 0a buf).{...slotbuf[0].=.0x7022;.}.
35b60 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 77 6f 5f 53 6c 6f 74 5f 69 .static.void.Opcode_dpfwo_Slot_i
35b80 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
35ba0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 33 32 3b 0a 7d 0a 0a uf).{...slotbuf[0].=.0x7032;.}..
35bc0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 6c 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_dpfl_Slot_ins
35be0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
35c00 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 38 32 3b 0a 7d 0a 0a 73 74 ).{...slotbuf[0].=.0x7082;.}..st
35c20 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 68 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 atic.void.Opcode_dhu_Slot_inst_e
35c40 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
35c60 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 ...slotbuf[0].=.0x27082;.}..stat
35c80 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 69 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ic.void.Opcode_diu_Slot_inst_enc
35ca0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
35cc0 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 .slotbuf[0].=.0x37082;.}..static
35ce0 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 64 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .void.Opcode_sdct_Slot_inst_enco
35d00 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
35d20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 39 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0xf19000;.}..static
35d40 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 64 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .void.Opcode_ldct_Slot_inst_enco
35d60 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
35d80 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 38 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0xf18000;.}..static
35da0 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 53 6c 6f 74 5f 69 .void.Opcode_wsr_ptevaddr_Slot_i
35dc0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
35de0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 35 33 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x135300;.}
35e00 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 70 74 65 76 61 64 64 ..static.void.Opcode_rsr_ptevadd
35e20 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 r_Slot_inst_encode.(xtensa_insnb
35e40 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 uf.slotbuf).{...slotbuf[0].=.0x3
35e60 35 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 5300;.}..static.void.Opcode_xsr_
35e80 70 74 65 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ptevaddr_Slot_inst_encode.(xtens
35ea0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
35ec0 5d 20 3d 20 30 78 36 31 35 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x615300;.}..static.void.Opc
35ee0 6f 64 65 5f 72 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 ode_rsr_rasid_Slot_inst_encode.(
35f00 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
35f20 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 61 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 buf[0].=.0x35a00;.}..static.void
35f40 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .Opcode_wsr_rasid_Slot_inst_enco
35f60 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
35f80 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 35 61 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x135a00;.}..static
35fa0 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 .void.Opcode_xsr_rasid_Slot_inst
35fc0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
35fe0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 35 61 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x615a00;.}..s
36000 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f 53 6c tatic.void.Opcode_rsr_itlbcfg_Sl
36020 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
36040 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 62 30 30 lotbuf).{...slotbuf[0].=.0x35b00
36060 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 74 6c 62 ;.}..static.void.Opcode_wsr_itlb
36080 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 cfg_Slot_inst_encode.(xtensa_ins
360a0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
360c0 78 31 33 35 62 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 x135b00;.}..static.void.Opcode_x
360e0 73 72 5f 69 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 sr_itlbcfg_Slot_inst_encode.(xte
36100 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
36120 5b 30 5d 20 3d 20 30 78 36 31 35 62 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x615b00;.}..static.void.O
36140 70 63 6f 64 65 5f 72 73 72 5f 64 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f pcode_rsr_dtlbcfg_Slot_inst_enco
36160 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
36180 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 slotbuf[0].=.0x35c00;.}..static.
361a0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 void.Opcode_wsr_dtlbcfg_Slot_ins
361c0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
361e0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 35 63 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x135c00;.}..
36200 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 53 static.void.Opcode_xsr_dtlbcfg_S
36220 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
36240 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 35 63 slotbuf).{...slotbuf[0].=.0x615c
36260 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 64 74 6c 62 5f 00;.}..static.void.Opcode_idtlb_
36280 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
362a0 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 63 .slotbuf).{...slotbuf[0].=.0x50c
362c0 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 70 64 74 6c 62 000;.}..static.void.Opcode_pdtlb
362e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
36300 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 f.slotbuf).{...slotbuf[0].=.0x50
36320 64 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 64 74 6c d000;.}..static.void.Opcode_rdtl
36340 62 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e b0_Slot_inst_encode.(xtensa_insn
36360 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
36380 35 30 62 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 64 50b000;.}..static.void.Opcode_rd
363a0 74 6c 62 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e tlb1_Slot_inst_encode.(xtensa_in
363c0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
363e0 30 78 35 30 66 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x50f000;.}..static.void.Opcode_
36400 77 64 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 wdtlb_Slot_inst_encode.(xtensa_i
36420 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
36440 20 30 78 35 30 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x50e000;.}..static.void.Opcode
36460 5f 69 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f _iitlb_Slot_inst_encode.(xtensa_
36480 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
364a0 3d 20 30 78 35 30 34 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x504000;.}..static.void.Opcod
364c0 65 5f 70 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 e_pitlb_Slot_inst_encode.(xtensa
364e0 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
36500 20 3d 20 30 78 35 30 35 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f .=.0x505000;.}..static.void.Opco
36520 64 65 5f 72 69 74 6c 62 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e de_ritlb0_Slot_inst_encode.(xten
36540 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
36560 30 5d 20 3d 20 30 78 35 30 33 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 0].=.0x503000;.}..static.void.Op
36580 63 6f 64 65 5f 72 69 74 6c 62 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 code_ritlb1_Slot_inst_encode.(xt
365a0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
365c0 66 5b 30 5d 20 3d 20 30 78 35 30 37 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x507000;.}..static.void.
365e0 4f 70 63 6f 64 65 5f 77 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 Opcode_witlb_Slot_inst_encode.(x
36600 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
36620 75 66 5b 30 5d 20 3d 20 30 78 35 30 36 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x506000;.}..static.void
36640 0a 4f 70 63 6f 64 65 5f 6c 64 70 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 .Opcode_ldpte_Slot_inst_encode.(
36660 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
36680 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 66 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0xf1f000;.}..static.voi
366a0 64 0a 4f 70 63 6f 64 65 5f 68 77 77 69 74 6c 62 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f d.Opcode_hwwitlba_Slot_inst_enco
366c0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
366e0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x501000;.}..static
36700 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 68 77 77 64 74 6c 62 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f .void.Opcode_hwwdtlba_Slot_inst_
36720 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
36740 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 39 30 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x509000;.}..st
36760 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 53 6c atic.void.Opcode_rsr_cpenable_Sl
36780 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
367a0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 30 30 30 lotbuf).{...slotbuf[0].=.0x3e000
367c0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 63 70 65 6e ;.}..static.void.Opcode_wsr_cpen
367e0 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e able_Slot_inst_encode.(xtensa_in
36800 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
36820 30 78 31 33 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x13e000;.}..static.void.Opcode_
36840 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 xsr_cpenable_Slot_inst_encode.(x
36860 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
36880 75 66 5b 30 5d 20 3d 20 30 78 36 31 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x61e000;.}..static.void
368a0 0a 4f 70 63 6f 64 65 5f 63 6c 61 6d 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 .Opcode_clamps_Slot_inst_encode.
368c0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
368e0 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x330000;.}..static.vo
36900 69 64 0a 4f 70 63 6f 64 65 5f 6d 69 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 id.Opcode_min_Slot_inst_encode.(
36920 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
36940 62 75 66 5b 30 5d 20 3d 20 30 78 34 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x430000;.}..static.voi
36960 64 0a 4f 70 63 6f 64 65 5f 6d 61 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 d.Opcode_max_Slot_inst_encode.(x
36980 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
369a0 75 66 5b 30 5d 20 3d 20 30 78 35 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x530000;.}..static.void
369c0 0a 4f 70 63 6f 64 65 5f 6d 69 6e 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 .Opcode_minu_Slot_inst_encode.(x
369e0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
36a00 75 66 5b 30 5d 20 3d 20 30 78 36 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x630000;.}..static.void
36a20 0a 4f 70 63 6f 64 65 5f 6d 61 78 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 .Opcode_maxu_Slot_inst_encode.(x
36a40 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
36a60 75 66 5b 30 5d 20 3d 20 30 78 37 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x730000;.}..static.void
36a80 0a 4f 70 63 6f 64 65 5f 6e 73 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 .Opcode_nsa_Slot_inst_encode.(xt
36aa0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
36ac0 66 5b 30 5d 20 3d 20 30 78 34 30 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x40e000;.}..static.void.
36ae0 4f 70 63 6f 64 65 5f 6e 73 61 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 Opcode_nsau_Slot_inst_encode.(xt
36b00 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
36b20 66 5b 30 5d 20 3d 20 30 78 34 30 66 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x40f000;.}..static.void.
36b40 4f 70 63 6f 64 65 5f 73 65 78 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 Opcode_sext_Slot_inst_encode.(xt
36b60 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
36b80 66 5b 30 5d 20 3d 20 30 78 32 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x230000;.}..static.void.
36ba0 4f 70 63 6f 64 65 5f 6c 33 32 61 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 Opcode_l32ai_Slot_inst_encode.(x
36bc0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
36be0 75 66 5b 30 5d 20 3d 20 30 78 62 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f uf[0].=.0xb002;.}..static.void.O
36c00 70 63 6f 64 65 5f 73 33 32 72 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 pcode_s32ri_Slot_inst_encode.(xt
36c20 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
36c40 66 5b 30 5d 20 3d 20 30 78 66 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 f[0].=.0xf002;.}..static.void.Op
36c60 63 6f 64 65 5f 73 33 32 63 31 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 code_s32c1i_Slot_inst_encode.(xt
36c80 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
36ca0 66 5b 30 5d 20 3d 20 30 78 65 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 f[0].=.0xe002;.}..static.void.Op
36cc0 63 6f 64 65 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 code_rsr_scompare1_Slot_inst_enc
36ce0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
36d00 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 .slotbuf[0].=.0x30c00;.}..static
36d20 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f .void.Opcode_wsr_scompare1_Slot_
36d40 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
36d60 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 30 63 30 30 3b 0a buf).{...slotbuf[0].=.0x130c00;.
36d80 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 73 63 6f 6d 70 61 }..static.void.Opcode_xsr_scompa
36da0 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 re1_Slot_inst_encode.(xtensa_ins
36dc0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
36de0 78 36 31 30 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 x610c00;.}..static.void.Opcode_r
36e00 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 sr_atomctl_Slot_inst_encode.(xte
36e20 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
36e40 5b 30 5d 20 3d 20 30 78 33 36 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 [0].=.0x36300;.}..static.void.Op
36e60 63 6f 64 65 5f 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 code_wsr_atomctl_Slot_inst_encod
36e80 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
36ea0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 36 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x136300;.}..static.
36ec0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 void.Opcode_xsr_atomctl_Slot_ins
36ee0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
36f00 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 36 33 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x616300;.}..
36f20 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 71 75 6f 75 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_quou_Slot_ins
36f40 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
36f60 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 32 30 30 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0xc20000;.}..
36f80 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 71 75 6f 73 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_quos_Slot_ins
36fa0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
36fc0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 64 32 30 30 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0xd20000;.}..
36fe0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 6d 75 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_remu_Slot_ins
37000 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
37020 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 32 30 30 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0xe20000;.}..
37040 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 6d 73 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_rems_Slot_ins
37060 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
37080 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 32 30 30 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0xf20000;.}..
370a0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 72 5f 53 6c 6f 74 5f 69 6e 73 74 static.void.Opcode_rer_Slot_inst
370c0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
370e0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 36 30 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x406000;.}..s
37100 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 65 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f tatic.void.Opcode_wer_Slot_inst_
37120 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
37140 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 37 30 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x407000;.}..st
37160 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 53 6c atic.void.Opcode_rur_expstate_Sl
37180 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
371a0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 33 30 65 36 lotbuf).{...slotbuf[0].=.0xe30e6
371c0 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 75 72 5f 65 78 70 0;.}..static.void.Opcode_wur_exp
371e0 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 state_Slot_inst_encode.(xtensa_i
37200 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
37220 20 30 78 66 33 65 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0xf3e600;.}..static.void.Opcode
37240 5f 72 65 61 64 5f 69 6d 70 77 69 72 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 _read_impwire_Slot_inst_encode.(
37260 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
37280 62 75 66 5b 30 5d 20 3d 20 30 78 65 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 buf[0].=.0xe0000;.}..static.void
372a0 0a 4f 70 63 6f 64 65 5f 73 65 74 62 5f 65 78 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f .Opcode_setb_expstate_Slot_inst_
372c0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
372e0 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 {...slotbuf[0].=.0xe1000;.}..sta
37300 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 6c 72 62 5f 65 78 70 73 74 61 74 65 5f 53 6c tic.void.Opcode_clrb_expstate_Sl
37320 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
37340 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 31 32 30 30 lotbuf).{...slotbuf[0].=.0xe1200
37360 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 72 6d 73 6b 5f 65 78 ;.}..static.void.Opcode_wrmsk_ex
37380 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f pstate_Slot_inst_encode.(xtensa_
373a0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
373c0 3d 20 30 78 65 32 30 30 30 3b 0a 7d 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f =.0xe2000;.}..xtensa_opcode_enco
373e0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 65 78 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d de_fn.Opcode_excw_encode_fns[].=
37400 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 65 78 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .{...Opcode_excw_Slot_inst_encod
37420 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
37440 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a _fn.Opcode_rfe_encode_fns[].=.{.
37460 20 20 4f 70 63 6f 64 65 5f 72 66 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ..Opcode_rfe_Slot_inst_encode,.0
37480 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
374a0 4f 70 63 6f 64 65 5f 72 66 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f Opcode_rfde_encode_fns[].=.{...O
374c0 70 63 6f 64 65 5f 72 66 64 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 pcode_rfde_Slot_inst_encode,.0,.
374e0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
37500 63 6f 64 65 5f 73 79 73 63 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 code_syscall_encode_fns[].=.{...
37520 4f 70 63 6f 64 65 5f 73 79 73 63 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c Opcode_syscall_Slot_inst_encode,
37540 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
37560 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 31 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b n.Opcode_call12_encode_fns[].=.{
37580 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 31 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ...Opcode_call12_Slot_inst_encod
375a0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
375c0 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 _fn.Opcode_call8_encode_fns[].=.
375e0 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 {...Opcode_call8_Slot_inst_encod
37600 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
37620 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 _fn.Opcode_call4_encode_fns[].=.
37640 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 {...Opcode_call4_Slot_inst_encod
37660 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
37680 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 31 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 _fn.Opcode_callx12_encode_fns[].
376a0 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 31 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 =.{...Opcode_callx12_Slot_inst_e
376c0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
376e0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_fn.Opcode_callx8_encode_fns
37700 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 [].=.{...Opcode_callx8_Slot_inst
37720 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
37740 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 34 5f 65 6e 63 6f 64 65 5f 66 encode_fn.Opcode_callx4_encode_f
37760 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 34 5f 53 6c 6f 74 5f 69 6e ns[].=.{...Opcode_callx4_Slot_in
37780 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
377a0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 65 6e 74 72 79 5f 65 6e 63 6f 64 65 5f e_encode_fn.Opcode_entry_encode_
377c0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 65 6e 74 72 79 5f 53 6c 6f 74 5f 69 6e fns[].=.{...Opcode_entry_Slot_in
377e0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
37800 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 73 70 5f 65 6e 63 6f 64 65 5f e_encode_fn.Opcode_movsp_encode_
37820 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 73 70 5f 53 6c 6f 74 5f 69 6e fns[].=.{...Opcode_movsp_Slot_in
37840 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
37860 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 6f 74 77 5f 65 6e 63 6f 64 65 5f 66 e_encode_fn.Opcode_rotw_encode_f
37880 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 6f 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 ns[].=.{...Opcode_rotw_Slot_inst
378a0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
378c0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 encode_fn.Opcode_retw_encode_fns
378e0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 [].=.{...Opcode_retw_Slot_inst_e
37900 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
37920 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_fn.Opcode_retw_n_encode_fns
37940 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 6e 5f 53 6c 6f [].=.{...0,.0,.Opcode_retw_n_Slo
37960 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f t_inst16b_encode.};..xtensa_opco
37980 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 77 6f 5f 65 6e 63 6f 64 65 5f de_encode_fn.Opcode_rfwo_encode_
379a0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 66 77 6f 5f 53 6c 6f 74 5f 69 6e 73 fns[].=.{...Opcode_rfwo_Slot_ins
379c0 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
379e0 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 77 75 5f 65 6e 63 6f 64 65 5f 66 6e _encode_fn.Opcode_rfwu_encode_fn
37a00 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 66 77 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f s[].=.{...Opcode_rfwu_Slot_inst_
37a20 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
37a40 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 33 32 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b ncode_fn.Opcode_l32e_encode_fns[
37a60 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 33 32 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ].=.{...Opcode_l32e_Slot_inst_en
37a80 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
37aa0 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 33 32 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 ode_fn.Opcode_s32e_encode_fns[].
37ac0 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 33 32 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f =.{...Opcode_s32e_Slot_inst_enco
37ae0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
37b00 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 6e 63 6f 64 e_fn.Opcode_rsr_windowbase_encod
37b20 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 62 e_fns[].=.{...Opcode_rsr_windowb
37b40 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 ase_Slot_inst_encode,.0,.0.};..x
37b60 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 tensa_opcode_encode_fn.Opcode_ws
37b80 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 r_windowbase_encode_fns[].=.{...
37ba0 4f 70 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f Opcode_wsr_windowbase_Slot_inst_
37bc0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
37be0 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 ncode_fn.Opcode_xsr_windowbase_e
37c00 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e ncode_fns[].=.{...Opcode_xsr_win
37c20 64 6f 77 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d dowbase_Slot_inst_encode,.0,.0.}
37c40 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 ;..xtensa_opcode_encode_fn.Opcod
37c60 65 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d e_rsr_windowstart_encode_fns[].=
37c80 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 53 6c 6f 74 .{...Opcode_rsr_windowstart_Slot
37ca0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
37cc0 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 code_encode_fn.Opcode_wsr_window
37ce0 73 74 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f start_encode_fns[].=.{...Opcode_
37d00 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 wsr_windowstart_Slot_inst_encode
37d20 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
37d40 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 65 6e 63 6f 64 65 fn.Opcode_xsr_windowstart_encode
37d60 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 _fns[].=.{...Opcode_xsr_windowst
37d80 61 72 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 art_Slot_inst_encode,.0,.0.};..x
37da0 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 61 64 tensa_opcode_encode_fn.Opcode_ad
37dc0 64 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 4f 70 63 6f 64 65 d_n_encode_fns[].=.{...0,.Opcode
37de0 5f 61 64 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 65 2c 20 30 0a 7d 3b _add_n_Slot_inst16a_encode,.0.};
37e00 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
37e20 5f 61 64 64 69 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 4f 70 _addi_n_encode_fns[].=.{...0,.Op
37e40 63 6f 64 65 5f 61 64 64 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 65 2c code_addi_n_Slot_inst16a_encode,
37e60 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
37e80 70 63 6f 64 65 5f 62 65 71 7a 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 pcode_beqz_n_encode_fns[].=.{...
37ea0 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 62 65 71 7a 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 0,.0,.Opcode_beqz_n_Slot_inst16b
37ec0 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 _encode.};..xtensa_opcode_encode
37ee0 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6e 65 7a 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d _fn.Opcode_bnez_n_encode_fns[].=
37f00 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 62 6e 65 7a 5f 6e 5f 53 6c 6f 74 5f 69 6e .{...0,.0,.Opcode_bnez_n_Slot_in
37f20 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 st16b_encode.};..xtensa_opcode_e
37f40 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 6c 6c 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 ncode_fn.Opcode_ill_n_encode_fns
37f60 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 69 6c 6c 5f 6e 5f 53 6c 6f 74 [].=.{...0,.0,.Opcode_ill_n_Slot
37f80 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 _inst16b_encode.};..xtensa_opcod
37fa0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 6e 5f 65 6e 63 6f 64 65 e_encode_fn.Opcode_l32i_n_encode
37fc0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 6e 5f 53 6c _fns[].=.{...0,.Opcode_l32i_n_Sl
37fe0 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 65 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst16a_encode,.0.};..xtensa_
38000 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 5f 6e 5f 65 6e opcode_encode_fn.Opcode_mov_n_en
38020 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 6d 6f code_fns[].=.{...0,.0,.Opcode_mo
38040 76 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e v_n_Slot_inst16b_encode.};..xten
38060 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f sa_opcode_encode_fn.Opcode_movi_
38080 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 n_encode_fns[].=.{...0,.0,.Opcod
380a0 65 5f 6d 6f 76 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a e_movi_n_Slot_inst16b_encode.};.
380c0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
380e0 6e 6f 70 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f nop_n_encode_fns[].=.{...0,.0,.O
38100 70 63 6f 64 65 5f 6e 6f 70 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a pcode_nop_n_Slot_inst16b_encode.
38120 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
38140 64 65 5f 72 65 74 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 de_ret_n_encode_fns[].=.{...0,.0
38160 2c 20 4f 70 63 6f 64 65 5f 72 65 74 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f ,.Opcode_ret_n_Slot_inst16b_enco
38180 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f de.};..xtensa_opcode_encode_fn.O
381a0 70 63 6f 64 65 5f 73 33 32 69 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 pcode_s32i_n_encode_fns[].=.{...
381c0 30 2c 20 4f 70 63 6f 64 65 5f 73 33 32 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 0,.Opcode_s32i_n_Slot_inst16a_en
381e0 63 6f 64 65 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 code,.0.};..xtensa_opcode_encode
38200 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 5f 65 6e 63 6f 64 65 5f _fn.Opcode_rur_threadptr_encode_
38220 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 fns[].=.{...Opcode_rur_threadptr
38240 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
38260 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 75 72 5f 74 sa_opcode_encode_fn.Opcode_wur_t
38280 68 72 65 61 64 70 74 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f hreadptr_encode_fns[].=.{...Opco
382a0 64 65 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 de_wur_threadptr_Slot_inst_encod
382c0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
382e0 5f 66 6e 20 4f 70 63 6f 64 65 5f 61 64 64 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b _fn.Opcode_addi_encode_fns[].=.{
38300 0a 20 20 4f 70 63 6f 64 65 5f 61 64 64 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ...Opcode_addi_Slot_inst_encode,
38320 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
38340 6e 20 4f 70 63 6f 64 65 5f 61 64 64 6d 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a n.Opcode_addmi_encode_fns[].=.{.
38360 20 20 4f 70 63 6f 64 65 5f 61 64 64 6d 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ..Opcode_addmi_Slot_inst_encode,
38380 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
383a0 6e 20 4f 70 63 6f 64 65 5f 61 64 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 n.Opcode_add_encode_fns[].=.{...
383c0 4f 70 63 6f 64 65 5f 61 64 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 Opcode_add_Slot_inst_encode,.0,.
383e0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
38400 63 6f 64 65 5f 73 75 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f code_sub_encode_fns[].=.{...Opco
38420 64 65 5f 73 75 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b de_sub_Slot_inst_encode,.0,.0.};
38440 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
38460 5f 61 64 64 78 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _addx2_encode_fns[].=.{...Opcode
38480 5f 61 64 64 78 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _addx2_Slot_inst_encode,.0,.0.};
384a0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
384c0 5f 61 64 64 78 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _addx4_encode_fns[].=.{...Opcode
384e0 5f 61 64 64 78 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _addx4_Slot_inst_encode,.0,.0.};
38500 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
38520 5f 61 64 64 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _addx8_encode_fns[].=.{...Opcode
38540 5f 61 64 64 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _addx8_Slot_inst_encode,.0,.0.};
38560 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
38580 5f 73 75 62 78 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _subx2_encode_fns[].=.{...Opcode
385a0 5f 73 75 62 78 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _subx2_Slot_inst_encode,.0,.0.};
385c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
385e0 5f 73 75 62 78 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _subx4_encode_fns[].=.{...Opcode
38600 5f 73 75 62 78 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _subx4_Slot_inst_encode,.0,.0.};
38620 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
38640 5f 73 75 62 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _subx8_encode_fns[].=.{...Opcode
38660 5f 73 75 62 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _subx8_Slot_inst_encode,.0,.0.};
38680 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
386a0 5f 61 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 61 _and_encode_fns[].=.{...Opcode_a
386c0 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nd_Slot_inst_encode,.0,.0.};..xt
386e0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6f 72 5f ensa_opcode_encode_fn.Opcode_or_
38700 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6f 72 5f 53 6c 6f encode_fns[].=.{...Opcode_or_Slo
38720 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
38740 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 6f 72 5f 65 6e 63 6f 64 pcode_encode_fn.Opcode_xor_encod
38760 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 6f 72 5f 53 6c 6f 74 5f 69 6e e_fns[].=.{...Opcode_xor_Slot_in
38780 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
387a0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 65 71 69 5f 65 6e 63 6f 64 65 5f 66 e_encode_fn.Opcode_beqi_encode_f
387c0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 65 71 69 5f 53 6c 6f 74 5f 69 6e 73 74 ns[].=.{...Opcode_beqi_Slot_inst
387e0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
38800 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6e 65 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 encode_fn.Opcode_bnei_encode_fns
38820 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6e 65 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 [].=.{...Opcode_bnei_Slot_inst_e
38840 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
38860 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 67 65 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d code_fn.Opcode_bgei_encode_fns[]
38880 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 67 65 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .=.{...Opcode_bgei_Slot_inst_enc
388a0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
388c0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6c 74 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d de_fn.Opcode_blti_encode_fns[].=
388e0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6c 74 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .{...Opcode_blti_Slot_inst_encod
38900 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
38920 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 62 63 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b _fn.Opcode_bbci_encode_fns[].=.{
38940 0a 20 20 4f 70 63 6f 64 65 5f 62 62 63 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ...Opcode_bbci_Slot_inst_encode,
38960 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
38980 6e 20 4f 70 63 6f 64 65 5f 62 62 73 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 n.Opcode_bbsi_encode_fns[].=.{..
389a0 20 4f 70 63 6f 64 65 5f 62 62 73 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 .Opcode_bbsi_Slot_inst_encode,.0
389c0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
389e0 4f 70 63 6f 64 65 5f 62 67 65 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 Opcode_bgeui_encode_fns[].=.{...
38a00 4f 70 63 6f 64 65 5f 62 67 65 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 Opcode_bgeui_Slot_inst_encode,.0
38a20 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
38a40 4f 70 63 6f 64 65 5f 62 6c 74 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 Opcode_bltui_encode_fns[].=.{...
38a60 4f 70 63 6f 64 65 5f 62 6c 74 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 Opcode_bltui_Slot_inst_encode,.0
38a80 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
38aa0 4f 70 63 6f 64 65 5f 62 65 71 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 Opcode_beq_encode_fns[].=.{...Op
38ac0 63 6f 64 65 5f 62 65 71 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a code_beq_Slot_inst_encode,.0,.0.
38ae0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
38b00 64 65 5f 62 6e 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 de_bne_encode_fns[].=.{...Opcode
38b20 5f 62 6e 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a _bne_Slot_inst_encode,.0,.0.};..
38b40 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 xtensa_opcode_encode_fn.Opcode_b
38b60 67 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 67 65 ge_encode_fns[].=.{...Opcode_bge
38b80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
38ba0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6c 74 5f 65 sa_opcode_encode_fn.Opcode_blt_e
38bc0 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6c 74 5f 53 6c 6f ncode_fns[].=.{...Opcode_blt_Slo
38be0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
38c00 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 67 65 75 5f 65 6e 63 6f pcode_encode_fn.Opcode_bgeu_enco
38c20 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 67 65 75 5f 53 6c 6f 74 5f de_fns[].=.{...Opcode_bgeu_Slot_
38c40 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
38c60 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6c 74 75 5f 65 6e 63 6f 64 65 ode_encode_fn.Opcode_bltu_encode
38c80 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6c 74 75 5f 53 6c 6f 74 5f 69 6e _fns[].=.{...Opcode_bltu_Slot_in
38ca0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
38cc0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 61 6e 79 5f 65 6e 63 6f 64 65 5f 66 e_encode_fn.Opcode_bany_encode_f
38ce0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 61 6e 79 5f 53 6c 6f 74 5f 69 6e 73 74 ns[].=.{...Opcode_bany_Slot_inst
38d00 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
38d20 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6e 6f 6e 65 5f 65 6e 63 6f 64 65 5f 66 6e encode_fn.Opcode_bnone_encode_fn
38d40 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6e 6f 6e 65 5f 53 6c 6f 74 5f 69 6e 73 74 s[].=.{...Opcode_bnone_Slot_inst
38d60 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
38d80 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 encode_fn.Opcode_ball_encode_fns
38da0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 [].=.{...Opcode_ball_Slot_inst_e
38dc0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
38de0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6e 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b code_fn.Opcode_bnall_encode_fns[
38e00 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6e 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ].=.{...Opcode_bnall_Slot_inst_e
38e20 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
38e40 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 62 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 code_fn.Opcode_bbc_encode_fns[].
38e60 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 62 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 =.{...Opcode_bbc_Slot_inst_encod
38e80 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
38ea0 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 62 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a _fn.Opcode_bbs_encode_fns[].=.{.
38ec0 20 20 4f 70 63 6f 64 65 5f 62 62 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ..Opcode_bbs_Slot_inst_encode,.0
38ee0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
38f00 4f 70 63 6f 64 65 5f 62 65 71 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f Opcode_beqz_encode_fns[].=.{...O
38f20 70 63 6f 64 65 5f 62 65 71 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 pcode_beqz_Slot_inst_encode,.0,.
38f40 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
38f60 63 6f 64 65 5f 62 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 code_bnez_encode_fns[].=.{...Opc
38f80 6f 64 65 5f 62 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ode_bnez_Slot_inst_encode,.0,.0.
38fa0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
38fc0 64 65 5f 62 67 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 de_bgez_encode_fns[].=.{...Opcod
38fe0 65 5f 62 67 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b e_bgez_Slot_inst_encode,.0,.0.};
39000 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
39020 5f 62 6c 74 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _bltz_encode_fns[].=.{...Opcode_
39040 62 6c 74 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a bltz_Slot_inst_encode,.0,.0.};..
39060 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 xtensa_opcode_encode_fn.Opcode_c
39080 61 6c 6c 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 all0_encode_fns[].=.{...Opcode_c
390a0 61 6c 6c 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a all0_Slot_inst_encode,.0,.0.};..
390c0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 xtensa_opcode_encode_fn.Opcode_c
390e0 61 6c 6c 78 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f allx0_encode_fns[].=.{...Opcode_
39100 63 61 6c 6c 78 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b callx0_Slot_inst_encode,.0,.0.};
39120 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
39140 5f 65 78 74 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _extui_encode_fns[].=.{...Opcode
39160 5f 65 78 74 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _extui_Slot_inst_encode,.0,.0.};
39180 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
391a0 5f 69 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 _ill_encode_fns[].=.{...Opcode_i
391c0 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ll_Slot_inst_encode,.0,.0.};..xt
391e0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6a 5f 65 ensa_opcode_encode_fn.Opcode_j_e
39200 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6a 5f 53 6c 6f 74 5f ncode_fns[].=.{...Opcode_j_Slot_
39220 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
39240 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6a 78 5f 65 6e 63 6f 64 65 5f 66 ode_encode_fn.Opcode_jx_encode_f
39260 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6a 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ns[].=.{...Opcode_jx_Slot_inst_e
39280 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
392a0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 31 36 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b code_fn.Opcode_l16ui_encode_fns[
392c0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 31 36 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ].=.{...Opcode_l16ui_Slot_inst_e
392e0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
39300 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 31 36 73 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b code_fn.Opcode_l16si_encode_fns[
39320 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 31 36 73 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ].=.{...Opcode_l16si_Slot_inst_e
39340 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
39360 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d code_fn.Opcode_l32i_encode_fns[]
39380 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .=.{...Opcode_l32i_Slot_inst_enc
393a0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
393c0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 33 32 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d de_fn.Opcode_l32r_encode_fns[].=
393e0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 33 32 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .{...Opcode_l32r_Slot_inst_encod
39400 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
39420 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 38 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b _fn.Opcode_l8ui_encode_fns[].=.{
39440 0a 20 20 4f 70 63 6f 64 65 5f 6c 38 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ...Opcode_l8ui_Slot_inst_encode,
39460 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
39480 6e 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 n.Opcode_loop_encode_fns[].=.{..
394a0 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 .Opcode_loop_Slot_inst_encode,.0
394c0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
394e0 4f 70 63 6f 64 65 5f 6c 6f 6f 70 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a Opcode_loopnez_encode_fns[].=.{.
39500 20 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ..Opcode_loopnez_Slot_inst_encod
39520 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
39540 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 67 74 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 _fn.Opcode_loopgtz_encode_fns[].
39560 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 67 74 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 =.{...Opcode_loopgtz_Slot_inst_e
39580 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
395a0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d code_fn.Opcode_movi_encode_fns[]
395c0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .=.{...Opcode_movi_Slot_inst_enc
395e0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
39600 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 65 71 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d de_fn.Opcode_moveqz_encode_fns[]
39620 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 65 71 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .=.{...Opcode_moveqz_Slot_inst_e
39640 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
39660 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_fn.Opcode_movnez_encode_fns
39680 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 [].=.{...Opcode_movnez_Slot_inst
396a0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
396c0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 6c 74 7a 5f 65 6e 63 6f 64 65 5f 66 encode_fn.Opcode_movltz_encode_f
396e0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 6c 74 7a 5f 53 6c 6f 74 5f 69 6e ns[].=.{...Opcode_movltz_Slot_in
39700 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
39720 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 67 65 7a 5f 65 6e 63 6f 64 65 e_encode_fn.Opcode_movgez_encode
39740 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 67 65 7a 5f 53 6c 6f 74 5f _fns[].=.{...Opcode_movgez_Slot_
39760 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
39780 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6e 65 67 5f 65 6e 63 6f 64 65 5f ode_encode_fn.Opcode_neg_encode_
397a0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6e 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 fns[].=.{...Opcode_neg_Slot_inst
397c0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
397e0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 61 62 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b encode_fn.Opcode_abs_encode_fns[
39800 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 61 62 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ].=.{...Opcode_abs_Slot_inst_enc
39820 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
39840 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6e 6f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 de_fn.Opcode_nop_encode_fns[].=.
39860 7b 0a 20 20 4f 70 63 6f 64 65 5f 6e 6f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c {...Opcode_nop_Slot_inst_encode,
39880 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
398a0 6e 20 4f 70 63 6f 64 65 5f 72 65 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 n.Opcode_ret_encode_fns[].=.{...
398c0 4f 70 63 6f 64 65 5f 72 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 Opcode_ret_Slot_inst_encode,.0,.
398e0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
39900 63 6f 64 65 5f 73 69 6d 63 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 code_simcall_encode_fns[].=.{...
39920 4f 70 63 6f 64 65 5f 73 69 6d 63 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c Opcode_simcall_Slot_inst_encode,
39940 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
39960 6e 20 4f 70 63 6f 64 65 5f 73 31 36 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 n.Opcode_s16i_encode_fns[].=.{..
39980 20 4f 70 63 6f 64 65 5f 73 31 36 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 .Opcode_s16i_Slot_inst_encode,.0
399a0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
399c0 4f 70 63 6f 64 65 5f 73 33 32 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f Opcode_s32i_encode_fns[].=.{...O
399e0 70 63 6f 64 65 5f 73 33 32 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 pcode_s32i_Slot_inst_encode,.0,.
39a00 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
39a20 63 6f 64 65 5f 73 33 32 6e 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 code_s32nb_encode_fns[].=.{...Op
39a40 63 6f 64 65 5f 73 33 32 6e 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 code_s32nb_Slot_inst_encode,.0,.
39a60 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
39a80 63 6f 64 65 5f 73 38 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f code_s8i_encode_fns[].=.{...Opco
39aa0 64 65 5f 73 38 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b de_s8i_Slot_inst_encode,.0,.0.};
39ac0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
39ae0 5f 73 73 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 _ssr_encode_fns[].=.{...Opcode_s
39b00 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 sr_Slot_inst_encode,.0,.0.};..xt
39b20 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 73 6c ensa_opcode_encode_fn.Opcode_ssl
39b40 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 73 6c 5f 53 _encode_fns[].=.{...Opcode_ssl_S
39b60 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
39b80 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 73 61 38 6c 5f 65 _opcode_encode_fn.Opcode_ssa8l_e
39ba0 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 73 61 38 6c 5f 53 ncode_fns[].=.{...Opcode_ssa8l_S
39bc0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
39be0 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 73 61 38 62 5f 65 _opcode_encode_fn.Opcode_ssa8b_e
39c00 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 73 61 38 62 5f 53 ncode_fns[].=.{...Opcode_ssa8b_S
39c20 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
39c40 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 73 61 69 5f 65 6e _opcode_encode_fn.Opcode_ssai_en
39c60 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 73 61 69 5f 53 6c 6f code_fns[].=.{...Opcode_ssai_Slo
39c80 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
39ca0 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 6c 6c 5f 65 6e 63 6f 64 pcode_encode_fn.Opcode_sll_encod
39cc0 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 6c 6c 5f 53 6c 6f 74 5f 69 6e e_fns[].=.{...Opcode_sll_Slot_in
39ce0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
39d00 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 72 63 5f 65 6e 63 6f 64 65 5f 66 6e e_encode_fn.Opcode_src_encode_fn
39d20 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 72 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 s[].=.{...Opcode_src_Slot_inst_e
39d40 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
39d60 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 72 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 code_fn.Opcode_srl_encode_fns[].
39d80 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 72 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 =.{...Opcode_srl_Slot_inst_encod
39da0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
39dc0 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 72 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a _fn.Opcode_sra_encode_fns[].=.{.
39de0 20 20 4f 70 63 6f 64 65 5f 73 72 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ..Opcode_sra_Slot_inst_encode,.0
39e00 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
39e20 4f 70 63 6f 64 65 5f 73 6c 6c 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f Opcode_slli_encode_fns[].=.{...O
39e40 70 63 6f 64 65 5f 73 6c 6c 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 pcode_slli_Slot_inst_encode,.0,.
39e60 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
39e80 63 6f 64 65 5f 73 72 61 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 code_srai_encode_fns[].=.{...Opc
39ea0 6f 64 65 5f 73 72 61 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ode_srai_Slot_inst_encode,.0,.0.
39ec0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
39ee0 64 65 5f 73 72 6c 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 de_srli_encode_fns[].=.{...Opcod
39f00 65 5f 73 72 6c 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b e_srli_Slot_inst_encode,.0,.0.};
39f20 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
39f40 5f 6d 65 6d 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _memw_encode_fns[].=.{...Opcode_
39f60 6d 65 6d 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a memw_Slot_inst_encode,.0,.0.};..
39f80 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 65 xtensa_opcode_encode_fn.Opcode_e
39fa0 78 74 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 65 78 xtw_encode_fns[].=.{...Opcode_ex
39fc0 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 tw_Slot_inst_encode,.0,.0.};..xt
39fe0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 73 79 ensa_opcode_encode_fn.Opcode_isy
3a000 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 73 79 nc_encode_fns[].=.{...Opcode_isy
3a020 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nc_Slot_inst_encode,.0,.0.};..xt
3a040 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 79 ensa_opcode_encode_fn.Opcode_rsy
3a060 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 79 nc_encode_fns[].=.{...Opcode_rsy
3a080 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nc_Slot_inst_encode,.0,.0.};..xt
3a0a0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 65 73 79 ensa_opcode_encode_fn.Opcode_esy
3a0c0 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 65 73 79 nc_encode_fns[].=.{...Opcode_esy
3a0e0 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nc_Slot_inst_encode,.0,.0.};..xt
3a100 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 73 79 ensa_opcode_encode_fn.Opcode_dsy
3a120 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 73 79 nc_encode_fns[].=.{...Opcode_dsy
3a140 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nc_Slot_inst_encode,.0,.0.};..xt
3a160 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 69 ensa_opcode_encode_fn.Opcode_rsi
3a180 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 69 6c l_encode_fns[].=.{...Opcode_rsil
3a1a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3a1c0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c sa_opcode_encode_fn.Opcode_rsr_l
3a1e0 65 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 end_encode_fns[].=.{...Opcode_rs
3a200 72 5f 6c 65 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b r_lend_Slot_inst_encode,.0,.0.};
3a220 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3a240 5f 77 73 72 5f 6c 65 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _wsr_lend_encode_fns[].=.{...Opc
3a260 6f 64 65 5f 77 73 72 5f 6c 65 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_wsr_lend_Slot_inst_encode,.0
3a280 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3a2a0 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 65 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_xsr_lend_encode_fns[].=.{
3a2c0 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 65 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_xsr_lend_Slot_inst_enc
3a2e0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3a300 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 de_fn.Opcode_rsr_lcount_encode_f
3a320 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 53 6c 6f ns[].=.{...Opcode_rsr_lcount_Slo
3a340 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3a360 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 63 6f 75 6e pcode_encode_fn.Opcode_wsr_lcoun
3a380 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f t_encode_fns[].=.{...Opcode_wsr_
3a3a0 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b lcount_Slot_inst_encode,.0,.0.};
3a3c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3a3e0 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f _xsr_lcount_encode_fns[].=.{...O
3a400 70 63 6f 64 65 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_xsr_lcount_Slot_inst_encod
3a420 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3a440 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d _fn.Opcode_rsr_lbeg_encode_fns[]
3a460 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 62 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 .=.{...Opcode_rsr_lbeg_Slot_inst
3a480 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3a4a0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 encode_fn.Opcode_wsr_lbeg_encode
3a4c0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 62 65 67 5f 53 6c 6f _fns[].=.{...Opcode_wsr_lbeg_Slo
3a4e0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3a500 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 62 65 67 5f pcode_encode_fn.Opcode_xsr_lbeg_
3a520 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 62 encode_fns[].=.{...Opcode_xsr_lb
3a540 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 eg_Slot_inst_encode,.0,.0.};..xt
3a560 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 ensa_opcode_encode_fn.Opcode_rsr
3a580 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 _sar_encode_fns[].=.{...Opcode_r
3a5a0 73 72 5f 73 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b sr_sar_Slot_inst_encode,.0,.0.};
3a5c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3a5e0 5f 77 73 72 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f _wsr_sar_encode_fns[].=.{...Opco
3a600 64 65 5f 77 73 72 5f 73 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 de_wsr_sar_Slot_inst_encode,.0,.
3a620 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
3a640 63 6f 64 65 5f 78 73 72 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 code_xsr_sar_encode_fns[].=.{...
3a660 4f 70 63 6f 64 65 5f 78 73 72 5f 73 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c Opcode_xsr_sar_Slot_inst_encode,
3a680 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3a6a0 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d n.Opcode_rsr_memctl_encode_fns[]
3a6c0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e .=.{...Opcode_rsr_memctl_Slot_in
3a6e0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3a700 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e e_encode_fn.Opcode_wsr_memctl_en
3a720 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 65 6d 63 code_fns[].=.{...Opcode_wsr_memc
3a740 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 tl_Slot_inst_encode,.0,.0.};..xt
3a760 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 ensa_opcode_encode_fn.Opcode_xsr
3a780 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 _memctl_encode_fns[].=.{...Opcod
3a7a0 65 5f 78 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 e_xsr_memctl_Slot_inst_encode,.0
3a7c0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3a7e0 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 Opcode_rsr_litbase_encode_fns[].
3a800 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 69 74 62 61 73 65 5f 53 6c 6f 74 5f 69 6e =.{...Opcode_rsr_litbase_Slot_in
3a820 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3a840 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 69 74 62 61 73 65 5f 65 e_encode_fn.Opcode_wsr_litbase_e
3a860 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 69 74 ncode_fns[].=.{...Opcode_wsr_lit
3a880 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a base_Slot_inst_encode,.0,.0.};..
3a8a0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
3a8c0 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 sr_litbase_encode_fns[].=.{...Op
3a8e0 63 6f 64 65 5f 78 73 72 5f 6c 69 74 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 code_xsr_litbase_Slot_inst_encod
3a900 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3a920 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 65 6e 63 6f 64 65 5f _fn.Opcode_rsr_configid0_encode_
3a940 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 fns[].=.{...Opcode_rsr_configid0
3a960 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3a980 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 sa_opcode_encode_fn.Opcode_wsr_c
3a9a0 6f 6e 66 69 67 69 64 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f onfigid0_encode_fns[].=.{...Opco
3a9c0 64 65 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 de_wsr_configid0_Slot_inst_encod
3a9e0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3aa00 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 5f 65 6e 63 6f 64 65 5f _fn.Opcode_rsr_configid1_encode_
3aa20 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 fns[].=.{...Opcode_rsr_configid1
3aa40 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3aa60 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 70 sa_opcode_encode_fn.Opcode_rsr_p
3aa80 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f s_encode_fns[].=.{...Opcode_rsr_
3aaa0 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ps_Slot_inst_encode,.0,.0.};..xt
3aac0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 ensa_opcode_encode_fn.Opcode_wsr
3aae0 5f 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 _ps_encode_fns[].=.{...Opcode_ws
3ab00 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a r_ps_Slot_inst_encode,.0,.0.};..
3ab20 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
3ab40 73 72 5f 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f sr_ps_encode_fns[].=.{...Opcode_
3ab60 78 73 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b xsr_ps_Slot_inst_encode,.0,.0.};
3ab80 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3aba0 5f 72 73 72 5f 65 70 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _rsr_epc1_encode_fns[].=.{...Opc
3abc0 6f 64 65 5f 72 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_rsr_epc1_Slot_inst_encode,.0
3abe0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3ac00 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_wsr_epc1_encode_fns[].=.{
3ac20 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_wsr_epc1_Slot_inst_enc
3ac40 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3ac60 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 de_fn.Opcode_xsr_epc1_encode_fns
3ac80 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e [].=.{...Opcode_xsr_epc1_Slot_in
3aca0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3acc0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f e_encode_fn.Opcode_rsr_excsave1_
3ace0 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 encode_fns[].=.{...Opcode_rsr_ex
3ad00 63 73 61 76 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b csave1_Slot_inst_encode,.0,.0.};
3ad20 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3ad40 5f 77 73 72 5f 65 78 63 73 61 76 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 _wsr_excsave1_encode_fns[].=.{..
3ad60 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .Opcode_wsr_excsave1_Slot_inst_e
3ad80 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3ada0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 31 5f 65 6e 63 6f code_fn.Opcode_xsr_excsave1_enco
3adc0 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 de_fns[].=.{...Opcode_xsr_excsav
3ade0 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 e1_Slot_inst_encode,.0,.0.};..xt
3ae00 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 ensa_opcode_encode_fn.Opcode_rsr
3ae20 5f 65 70 63 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _epc2_encode_fns[].=.{...Opcode_
3ae40 72 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a rsr_epc2_Slot_inst_encode,.0,.0.
3ae60 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3ae80 64 65 5f 77 73 72 5f 65 70 63 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f de_wsr_epc2_encode_fns[].=.{...O
3aea0 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c pcode_wsr_epc2_Slot_inst_encode,
3aec0 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3aee0 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d n.Opcode_xsr_epc2_encode_fns[].=
3af00 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .{...Opcode_xsr_epc2_Slot_inst_e
3af20 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3af40 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 32 5f 65 6e 63 6f code_fn.Opcode_rsr_excsave2_enco
3af60 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 de_fns[].=.{...Opcode_rsr_excsav
3af80 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 e2_Slot_inst_encode,.0,.0.};..xt
3afa0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 ensa_opcode_encode_fn.Opcode_wsr
3afc0 5f 65 78 63 73 61 76 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _excsave2_encode_fns[].=.{...Opc
3afe0 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ode_wsr_excsave2_Slot_inst_encod
3b000 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3b020 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 65 6e 63 6f 64 65 5f 66 _fn.Opcode_xsr_excsave2_encode_f
3b040 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 53 ns[].=.{...Opcode_xsr_excsave2_S
3b060 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
3b080 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 _opcode_encode_fn.Opcode_rsr_epc
3b0a0 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 3_encode_fns[].=.{...Opcode_rsr_
3b0c0 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a epc3_Slot_inst_encode,.0,.0.};..
3b0e0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 xtensa_opcode_encode_fn.Opcode_w
3b100 73 72 5f 65 70 63 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 sr_epc3_encode_fns[].=.{...Opcod
3b120 65 5f 77 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 e_wsr_epc3_Slot_inst_encode,.0,.
3b140 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
3b160 63 6f 64 65 5f 78 73 72 5f 65 70 63 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 code_xsr_epc3_encode_fns[].=.{..
3b180 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_xsr_epc3_Slot_inst_encod
3b1a0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3b1c0 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 _fn.Opcode_rsr_excsave3_encode_f
3b1e0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 53 ns[].=.{...Opcode_rsr_excsave3_S
3b200 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
3b220 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 _opcode_encode_fn.Opcode_wsr_exc
3b240 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f save3_encode_fns[].=.{...Opcode_
3b260 77 73 72 5f 65 78 63 73 61 76 65 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 wsr_excsave3_Slot_inst_encode,.0
3b280 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3b2a0 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d Opcode_xsr_excsave3_encode_fns[]
3b2c0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 33 5f 53 6c 6f 74 5f .=.{...Opcode_xsr_excsave3_Slot_
3b2e0 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
3b300 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 34 5f 65 6e ode_encode_fn.Opcode_rsr_epc4_en
3b320 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 34 code_fns[].=.{...Opcode_rsr_epc4
3b340 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3b360 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 sa_opcode_encode_fn.Opcode_wsr_e
3b380 70 63 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 pc4_encode_fns[].=.{...Opcode_ws
3b3a0 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b r_epc4_Slot_inst_encode,.0,.0.};
3b3c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3b3e0 5f 78 73 72 5f 65 70 63 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _xsr_epc4_encode_fns[].=.{...Opc
3b400 6f 64 65 5f 78 73 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_xsr_epc4_Slot_inst_encode,.0
3b420 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3b440 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d Opcode_rsr_excsave4_encode_fns[]
3b460 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f .=.{...Opcode_rsr_excsave4_Slot_
3b480 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
3b4a0 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 ode_encode_fn.Opcode_wsr_excsave
3b4c0 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 4_encode_fns[].=.{...Opcode_wsr_
3b4e0 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a excsave4_Slot_inst_encode,.0,.0.
3b500 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3b520 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b de_xsr_excsave4_encode_fns[].=.{
3b540 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 ...Opcode_xsr_excsave4_Slot_inst
3b560 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3b580 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 35 5f 65 6e 63 6f 64 65 encode_fn.Opcode_rsr_epc5_encode
3b5a0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 35 5f 53 6c 6f _fns[].=.{...Opcode_rsr_epc5_Slo
3b5c0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3b5e0 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 35 5f pcode_encode_fn.Opcode_wsr_epc5_
3b600 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 encode_fns[].=.{...Opcode_wsr_ep
3b620 63 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 c5_Slot_inst_encode,.0,.0.};..xt
3b640 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 ensa_opcode_encode_fn.Opcode_xsr
3b660 5f 65 70 63 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _epc5_encode_fns[].=.{...Opcode_
3b680 78 73 72 5f 65 70 63 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a xsr_epc5_Slot_inst_encode,.0,.0.
3b6a0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3b6c0 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b de_rsr_excsave5_encode_fns[].=.{
3b6e0 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 ...Opcode_rsr_excsave5_Slot_inst
3b700 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3b720 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 35 5f 65 6e encode_fn.Opcode_wsr_excsave5_en
3b740 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 code_fns[].=.{...Opcode_wsr_excs
3b760 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a ave5_Slot_inst_encode,.0,.0.};..
3b780 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
3b7a0 73 72 5f 65 78 63 73 61 76 65 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f sr_excsave5_encode_fns[].=.{...O
3b7c0 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_xsr_excsave5_Slot_inst_enc
3b7e0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3b800 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 de_fn.Opcode_rsr_epc6_encode_fns
3b820 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 36 5f 53 6c 6f 74 5f 69 6e [].=.{...Opcode_rsr_epc6_Slot_in
3b840 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3b860 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 36 5f 65 6e 63 6f e_encode_fn.Opcode_wsr_epc6_enco
3b880 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 36 5f 53 de_fns[].=.{...Opcode_wsr_epc6_S
3b8a0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
3b8c0 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 _opcode_encode_fn.Opcode_xsr_epc
3b8e0 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6_encode_fns[].=.{...Opcode_xsr_
3b900 65 70 63 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a epc6_Slot_inst_encode,.0,.0.};..
3b920 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 xtensa_opcode_encode_fn.Opcode_r
3b940 73 72 5f 65 78 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f sr_excsave6_encode_fns[].=.{...O
3b960 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_rsr_excsave6_Slot_inst_enc
3b980 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3b9a0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 de_fn.Opcode_wsr_excsave6_encode
3b9c0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 36 _fns[].=.{...Opcode_wsr_excsave6
3b9e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3ba00 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 sa_opcode_encode_fn.Opcode_xsr_e
3ba20 78 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 xcsave6_encode_fns[].=.{...Opcod
3ba40 65 5f 78 73 72 5f 65 78 63 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c e_xsr_excsave6_Slot_inst_encode,
3ba60 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3ba80 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d n.Opcode_rsr_epc7_encode_fns[].=
3baa0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .{...Opcode_rsr_epc7_Slot_inst_e
3bac0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3bae0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 37 5f 65 6e 63 6f 64 65 5f 66 code_fn.Opcode_wsr_epc7_encode_f
3bb00 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 37 5f 53 6c 6f 74 5f ns[].=.{...Opcode_wsr_epc7_Slot_
3bb20 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
3bb40 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 37 5f 65 6e ode_encode_fn.Opcode_xsr_epc7_en
3bb60 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 37 code_fns[].=.{...Opcode_xsr_epc7
3bb80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3bba0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 sa_opcode_encode_fn.Opcode_rsr_e
3bbc0 78 63 73 61 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 xcsave7_encode_fns[].=.{...Opcod
3bbe0 65 5f 72 73 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c e_rsr_excsave7_Slot_inst_encode,
3bc00 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3bc20 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 n.Opcode_wsr_excsave7_encode_fns
3bc40 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f [].=.{...Opcode_wsr_excsave7_Slo
3bc60 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3bc80 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 pcode_encode_fn.Opcode_xsr_excsa
3bca0 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 ve7_encode_fns[].=.{...Opcode_xs
3bcc0 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 r_excsave7_Slot_inst_encode,.0,.
3bce0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
3bd00 63 6f 64 65 5f 72 73 72 5f 65 70 73 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 code_rsr_eps2_encode_fns[].=.{..
3bd20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_rsr_eps2_Slot_inst_encod
3bd40 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3bd60 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d _fn.Opcode_wsr_eps2_encode_fns[]
3bd80 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 32 5f 53 6c 6f 74 5f 69 6e 73 74 .=.{...Opcode_wsr_eps2_Slot_inst
3bda0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3bdc0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 32 5f 65 6e 63 6f 64 65 encode_fn.Opcode_xsr_eps2_encode
3bde0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 32 5f 53 6c 6f _fns[].=.{...Opcode_xsr_eps2_Slo
3be00 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3be20 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 33 5f pcode_encode_fn.Opcode_rsr_eps3_
3be40 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 encode_fns[].=.{...Opcode_rsr_ep
3be60 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 s3_Slot_inst_encode,.0,.0.};..xt
3be80 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 ensa_opcode_encode_fn.Opcode_wsr
3bea0 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _eps3_encode_fns[].=.{...Opcode_
3bec0 77 73 72 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a wsr_eps3_Slot_inst_encode,.0,.0.
3bee0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3bf00 64 65 5f 78 73 72 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f de_xsr_eps3_encode_fns[].=.{...O
3bf20 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c pcode_xsr_eps3_Slot_inst_encode,
3bf40 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3bf60 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d n.Opcode_rsr_eps4_encode_fns[].=
3bf80 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .{...Opcode_rsr_eps4_Slot_inst_e
3bfa0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3bfc0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 34 5f 65 6e 63 6f 64 65 5f 66 code_fn.Opcode_wsr_eps4_encode_f
3bfe0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f ns[].=.{...Opcode_wsr_eps4_Slot_
3c000 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
3c020 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 34 5f 65 6e ode_encode_fn.Opcode_xsr_eps4_en
3c040 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 34 code_fns[].=.{...Opcode_xsr_eps4
3c060 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3c080 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 sa_opcode_encode_fn.Opcode_rsr_e
3c0a0 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 ps5_encode_fns[].=.{...Opcode_rs
3c0c0 72 5f 65 70 73 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b r_eps5_Slot_inst_encode,.0,.0.};
3c0e0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3c100 5f 77 73 72 5f 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _wsr_eps5_encode_fns[].=.{...Opc
3c120 6f 64 65 5f 77 73 72 5f 65 70 73 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_wsr_eps5_Slot_inst_encode,.0
3c140 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3c160 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_xsr_eps5_encode_fns[].=.{
3c180 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_xsr_eps5_Slot_inst_enc
3c1a0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3c1c0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 de_fn.Opcode_rsr_eps6_encode_fns
3c1e0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 36 5f 53 6c 6f 74 5f 69 6e [].=.{...Opcode_rsr_eps6_Slot_in
3c200 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3c220 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 36 5f 65 6e 63 6f e_encode_fn.Opcode_wsr_eps6_enco
3c240 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 36 5f 53 de_fns[].=.{...Opcode_wsr_eps6_S
3c260 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
3c280 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 _opcode_encode_fn.Opcode_xsr_eps
3c2a0 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6_encode_fns[].=.{...Opcode_xsr_
3c2c0 65 70 73 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a eps6_Slot_inst_encode,.0,.0.};..
3c2e0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 xtensa_opcode_encode_fn.Opcode_r
3c300 73 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 sr_eps7_encode_fns[].=.{...Opcod
3c320 65 5f 72 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 e_rsr_eps7_Slot_inst_encode,.0,.
3c340 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
3c360 63 6f 64 65 5f 77 73 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 code_wsr_eps7_encode_fns[].=.{..
3c380 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_wsr_eps7_Slot_inst_encod
3c3a0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3c3c0 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d _fn.Opcode_xsr_eps7_encode_fns[]
3c3e0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 .=.{...Opcode_xsr_eps7_Slot_inst
3c400 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3c420 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e encode_fn.Opcode_rsr_excvaddr_en
3c440 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 76 code_fns[].=.{...Opcode_rsr_excv
3c460 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a addr_Slot_inst_encode,.0,.0.};..
3c480 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 xtensa_opcode_encode_fn.Opcode_w
3c4a0 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f sr_excvaddr_encode_fns[].=.{...O
3c4c0 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_wsr_excvaddr_Slot_inst_enc
3c4e0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3c500 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 de_fn.Opcode_xsr_excvaddr_encode
3c520 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 76 61 64 64 72 _fns[].=.{...Opcode_xsr_excvaddr
3c540 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3c560 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 sa_opcode_encode_fn.Opcode_rsr_d
3c580 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 epc_encode_fns[].=.{...Opcode_rs
3c5a0 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b r_depc_Slot_inst_encode,.0,.0.};
3c5c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3c5e0 5f 77 73 72 5f 64 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _wsr_depc_encode_fns[].=.{...Opc
3c600 6f 64 65 5f 77 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_wsr_depc_Slot_inst_encode,.0
3c620 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3c640 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_xsr_depc_encode_fns[].=.{
3c660 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_xsr_depc_Slot_inst_enc
3c680 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3c6a0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 de_fn.Opcode_rsr_exccause_encode
3c6c0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 63 61 75 73 65 _fns[].=.{...Opcode_rsr_exccause
3c6e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3c700 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 sa_opcode_encode_fn.Opcode_wsr_e
3c720 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 xccause_encode_fns[].=.{...Opcod
3c740 65 5f 77 73 72 5f 65 78 63 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c e_wsr_exccause_Slot_inst_encode,
3c760 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3c780 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 n.Opcode_xsr_exccause_encode_fns
3c7a0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 53 6c 6f [].=.{...Opcode_xsr_exccause_Slo
3c7c0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3c7e0 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 30 pcode_encode_fn.Opcode_rsr_misc0
3c800 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d _encode_fns[].=.{...Opcode_rsr_m
3c820 69 73 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a isc0_Slot_inst_encode,.0,.0.};..
3c840 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 xtensa_opcode_encode_fn.Opcode_w
3c860 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f sr_misc0_encode_fns[].=.{...Opco
3c880 64 65 5f 77 73 72 5f 6d 69 73 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 de_wsr_misc0_Slot_inst_encode,.0
3c8a0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3c8c0 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 Opcode_xsr_misc0_encode_fns[].=.
3c8e0 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 69 73 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 {...Opcode_xsr_misc0_Slot_inst_e
3c900 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3c920 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 31 5f 65 6e 63 6f 64 65 5f code_fn.Opcode_rsr_misc1_encode_
3c940 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 31 5f 53 6c 6f fns[].=.{...Opcode_rsr_misc1_Slo
3c960 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3c980 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 69 73 63 31 pcode_encode_fn.Opcode_wsr_misc1
3c9a0 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d _encode_fns[].=.{...Opcode_wsr_m
3c9c0 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a isc1_Slot_inst_encode,.0,.0.};..
3c9e0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
3ca00 73 72 5f 6d 69 73 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f sr_misc1_encode_fns[].=.{...Opco
3ca20 64 65 5f 78 73 72 5f 6d 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 de_xsr_misc1_Slot_inst_encode,.0
3ca40 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3ca60 4f 70 63 6f 64 65 5f 72 73 72 5f 70 72 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_rsr_prid_encode_fns[].=.{
3ca80 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 70 72 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_rsr_prid_Slot_inst_enc
3caa0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3cac0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 65 6e 63 6f 64 65 5f de_fn.Opcode_rsr_vecbase_encode_
3cae0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 53 fns[].=.{...Opcode_rsr_vecbase_S
3cb00 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
3cb20 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 76 65 63 _opcode_encode_fn.Opcode_wsr_vec
3cb40 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 base_encode_fns[].=.{...Opcode_w
3cb60 73 72 5f 76 65 63 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 sr_vecbase_Slot_inst_encode,.0,.
3cb80 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
3cba0 63 6f 64 65 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 code_xsr_vecbase_encode_fns[].=.
3cbc0 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 {...Opcode_xsr_vecbase_Slot_inst
3cbe0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3cc00 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 75 5f 65 6e 63 6f 64 65 5f 66 encode_fn.Opcode_mul16u_encode_f
3cc20 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 75 5f 53 6c 6f 74 5f 69 6e ns[].=.{...Opcode_mul16u_Slot_in
3cc40 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3cc60 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 73 5f 65 6e 63 6f 64 65 e_encode_fn.Opcode_mul16s_encode
3cc80 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 73 5f 53 6c 6f 74 5f _fns[].=.{...Opcode_mul16s_Slot_
3cca0 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
3ccc0 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 6c 5f 65 6e 63 6f 64 65 ode_encode_fn.Opcode_mull_encode
3cce0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 6c 5f 53 6c 6f 74 5f 69 6e _fns[].=.{...Opcode_mull_Slot_in
3cd00 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3cd20 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 6c 5f 65 6e 63 e_encode_fn.Opcode_mul_aa_ll_enc
3cd40 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 6c ode_fns[].=.{...Opcode_mul_aa_ll
3cd60 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3cd80 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 sa_opcode_encode_fn.Opcode_mul_a
3cda0 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d a_hl_encode_fns[].=.{...Opcode_m
3cdc0 75 6c 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ul_aa_hl_Slot_inst_encode,.0,.0.
3cde0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3ce00 64 65 5f 6d 75 6c 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_mul_aa_lh_encode_fns[].=.{...
3ce20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_aa_lh_Slot_inst_encod
3ce40 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3ce60 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_mul_aa_hh_encode_fns[
3ce80 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_mul_aa_hh_Slot_in
3cea0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3cec0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 6c 5f 65 6e e_encode_fn.Opcode_umul_aa_ll_en
3cee0 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f code_fns[].=.{...Opcode_umul_aa_
3cf00 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ll_Slot_inst_encode,.0,.0.};..xt
3cf20 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 75 6d 75 ensa_opcode_encode_fn.Opcode_umu
3cf40 6c 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 l_aa_hl_encode_fns[].=.{...Opcod
3cf60 65 5f 75 6d 75 6c 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 e_umul_aa_hl_Slot_inst_encode,.0
3cf80 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3cfa0 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d Opcode_umul_aa_lh_encode_fns[].=
3cfc0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 .{...Opcode_umul_aa_lh_Slot_inst
3cfe0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3d000 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 68 68 5f 65 6e 63 6f encode_fn.Opcode_umul_aa_hh_enco
3d020 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 68 68 de_fns[].=.{...Opcode_umul_aa_hh
3d040 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3d060 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 sa_opcode_encode_fn.Opcode_mul_a
3d080 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d d_ll_encode_fns[].=.{...Opcode_m
3d0a0 75 6c 5f 61 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ul_ad_ll_Slot_inst_encode,.0,.0.
3d0c0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3d0e0 64 65 5f 6d 75 6c 5f 61 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_mul_ad_hl_encode_fns[].=.{...
3d100 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_ad_hl_Slot_inst_encod
3d120 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3d140 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_mul_ad_lh_encode_fns[
3d160 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_mul_ad_lh_Slot_in
3d180 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3d1a0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 68 5f 65 6e 63 e_encode_fn.Opcode_mul_ad_hh_enc
3d1c0 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 68 ode_fns[].=.{...Opcode_mul_ad_hh
3d1e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3d200 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 sa_opcode_encode_fn.Opcode_mul_d
3d220 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d a_ll_encode_fns[].=.{...Opcode_m
3d240 75 6c 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ul_da_ll_Slot_inst_encode,.0,.0.
3d260 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3d280 64 65 5f 6d 75 6c 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_mul_da_hl_encode_fns[].=.{...
3d2a0 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_da_hl_Slot_inst_encod
3d2c0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3d2e0 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_mul_da_lh_encode_fns[
3d300 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_mul_da_lh_Slot_in
3d320 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3d340 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 68 5f 65 6e 63 e_encode_fn.Opcode_mul_da_hh_enc
3d360 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 68 ode_fns[].=.{...Opcode_mul_da_hh
3d380 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3d3a0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 sa_opcode_encode_fn.Opcode_mul_d
3d3c0 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d d_ll_encode_fns[].=.{...Opcode_m
3d3e0 75 6c 5f 64 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ul_dd_ll_Slot_inst_encode,.0,.0.
3d400 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3d420 64 65 5f 6d 75 6c 5f 64 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_mul_dd_hl_encode_fns[].=.{...
3d440 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_dd_hl_Slot_inst_encod
3d460 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3d480 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_mul_dd_lh_encode_fns[
3d4a0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_mul_dd_lh_Slot_in
3d4c0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3d4e0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 68 5f 65 6e 63 e_encode_fn.Opcode_mul_dd_hh_enc
3d500 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 68 ode_fns[].=.{...Opcode_mul_dd_hh
3d520 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3d540 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f sa_opcode_encode_fn.Opcode_mula_
3d560 61 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f aa_ll_encode_fns[].=.{...Opcode_
3d580 6d 75 6c 61 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 mula_aa_ll_Slot_inst_encode,.0,.
3d5a0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
3d5c0 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b code_mula_aa_hl_encode_fns[].=.{
3d5e0 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ...Opcode_mula_aa_hl_Slot_inst_e
3d600 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3d620 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 code_fn.Opcode_mula_aa_lh_encode
3d640 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 68 5f 53 _fns[].=.{...Opcode_mula_aa_lh_S
3d660 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
3d680 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 _opcode_encode_fn.Opcode_mula_aa
3d6a0 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 _hh_encode_fns[].=.{...Opcode_mu
3d6c0 6c 61 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a la_aa_hh_Slot_inst_encode,.0,.0.
3d6e0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3d700 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 de_muls_aa_ll_encode_fns[].=.{..
3d720 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_muls_aa_ll_Slot_inst_enc
3d740 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3d760 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 de_fn.Opcode_muls_aa_hl_encode_f
3d780 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 6c 5f 53 6c 6f ns[].=.{...Opcode_muls_aa_hl_Slo
3d7a0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3d7c0 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c pcode_encode_fn.Opcode_muls_aa_l
3d7e0 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 h_encode_fns[].=.{...Opcode_muls
3d800 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _aa_lh_Slot_inst_encode,.0,.0.};
3d820 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3d840 5f 6d 75 6c 73 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f _muls_aa_hh_encode_fns[].=.{...O
3d860 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_muls_aa_hh_Slot_inst_encod
3d880 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3d8a0 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 _fn.Opcode_mula_ad_ll_encode_fns
3d8c0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 6c 5f 53 6c 6f 74 5f [].=.{...Opcode_mula_ad_ll_Slot_
3d8e0 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
3d900 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 6c 5f ode_encode_fn.Opcode_mula_ad_hl_
3d920 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 encode_fns[].=.{...Opcode_mula_a
3d940 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a d_hl_Slot_inst_encode,.0,.0.};..
3d960 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d xtensa_opcode_encode_fn.Opcode_m
3d980 75 6c 61 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 ula_ad_lh_encode_fns[].=.{...Opc
3d9a0 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ode_mula_ad_lh_Slot_inst_encode,
3d9c0 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3d9e0 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d n.Opcode_mula_ad_hh_encode_fns[]
3da00 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e .=.{...Opcode_mula_ad_hh_Slot_in
3da20 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3da40 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 6c 5f 65 6e e_encode_fn.Opcode_muls_ad_ll_en
3da60 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f code_fns[].=.{...Opcode_muls_ad_
3da80 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ll_Slot_inst_encode,.0,.0.};..xt
3daa0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c ensa_opcode_encode_fn.Opcode_mul
3dac0 73 5f 61 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 s_ad_hl_encode_fns[].=.{...Opcod
3dae0 65 5f 6d 75 6c 73 5f 61 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 e_muls_ad_hl_Slot_inst_encode,.0
3db00 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3db20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d Opcode_muls_ad_lh_encode_fns[].=
3db40 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 .{...Opcode_muls_ad_lh_Slot_inst
3db60 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3db80 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 68 68 5f 65 6e 63 6f encode_fn.Opcode_muls_ad_hh_enco
3dba0 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 68 68 de_fns[].=.{...Opcode_muls_ad_hh
3dbc0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3dbe0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f sa_opcode_encode_fn.Opcode_mula_
3dc00 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f da_ll_encode_fns[].=.{...Opcode_
3dc20 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 mula_da_ll_Slot_inst_encode,.0,.
3dc40 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
3dc60 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b code_mula_da_hl_encode_fns[].=.{
3dc80 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ...Opcode_mula_da_hl_Slot_inst_e
3dca0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3dcc0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 65 6e 63 6f 64 65 code_fn.Opcode_mula_da_lh_encode
3dce0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 53 _fns[].=.{...Opcode_mula_da_lh_S
3dd00 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
3dd20 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 _opcode_encode_fn.Opcode_mula_da
3dd40 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 _hh_encode_fns[].=.{...Opcode_mu
3dd60 6c 61 5f 64 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a la_da_hh_Slot_inst_encode,.0,.0.
3dd80 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3dda0 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 de_muls_da_ll_encode_fns[].=.{..
3ddc0 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_muls_da_ll_Slot_inst_enc
3dde0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3de00 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 de_fn.Opcode_muls_da_hl_encode_f
3de20 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 6c 5f 53 6c 6f ns[].=.{...Opcode_muls_da_hl_Slo
3de40 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3de60 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c pcode_encode_fn.Opcode_muls_da_l
3de80 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 h_encode_fns[].=.{...Opcode_muls
3dea0 5f 64 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _da_lh_Slot_inst_encode,.0,.0.};
3dec0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3dee0 5f 6d 75 6c 73 5f 64 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f _muls_da_hh_encode_fns[].=.{...O
3df00 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_muls_da_hh_Slot_inst_encod
3df20 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3df40 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 _fn.Opcode_mula_dd_ll_encode_fns
3df60 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 53 6c 6f 74 5f [].=.{...Opcode_mula_dd_ll_Slot_
3df80 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
3dfa0 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f ode_encode_fn.Opcode_mula_dd_hl_
3dfc0 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 encode_fns[].=.{...Opcode_mula_d
3dfe0 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a d_hl_Slot_inst_encode,.0,.0.};..
3e000 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d xtensa_opcode_encode_fn.Opcode_m
3e020 75 6c 61 5f 64 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 ula_dd_lh_encode_fns[].=.{...Opc
3e040 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ode_mula_dd_lh_Slot_inst_encode,
3e060 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3e080 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d n.Opcode_mula_dd_hh_encode_fns[]
3e0a0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e .=.{...Opcode_mula_dd_hh_Slot_in
3e0c0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3e0e0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 6c 5f 65 6e e_encode_fn.Opcode_muls_dd_ll_en
3e100 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f code_fns[].=.{...Opcode_muls_dd_
3e120 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ll_Slot_inst_encode,.0,.0.};..xt
3e140 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c ensa_opcode_encode_fn.Opcode_mul
3e160 73 5f 64 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 s_dd_hl_encode_fns[].=.{...Opcod
3e180 65 5f 6d 75 6c 73 5f 64 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 e_muls_dd_hl_Slot_inst_encode,.0
3e1a0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3e1c0 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d Opcode_muls_dd_lh_encode_fns[].=
3e1e0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 .{...Opcode_muls_dd_lh_Slot_inst
3e200 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3e220 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 68 5f 65 6e 63 6f encode_fn.Opcode_muls_dd_hh_enco
3e240 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 68 de_fns[].=.{...Opcode_muls_dd_hh
3e260 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3e280 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f sa_opcode_encode_fn.Opcode_mula_
3e2a0 64 61 5f 6c 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f da_ll_lddec_encode_fns[].=.{...O
3e2c0 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 pcode_mula_da_ll_lddec_Slot_inst
3e2e0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3e300 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 6c 64 69 6e encode_fn.Opcode_mula_da_ll_ldin
3e320 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 c_encode_fns[].=.{...Opcode_mula
3e340 5f 64 61 5f 6c 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 _da_ll_ldinc_Slot_inst_encode,.0
3e360 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3e380 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 Opcode_mula_da_hl_lddec_encode_f
3e3a0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 64 ns[].=.{...Opcode_mula_da_hl_ldd
3e3c0 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ec_Slot_inst_encode,.0,.0.};..xt
3e3e0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c ensa_opcode_encode_fn.Opcode_mul
3e400 61 5f 64 61 5f 68 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 a_da_hl_ldinc_encode_fns[].=.{..
3e420 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e .Opcode_mula_da_hl_ldinc_Slot_in
3e440 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3e460 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 e_encode_fn.Opcode_mula_da_lh_ld
3e480 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 dec_encode_fns[].=.{...Opcode_mu
3e4a0 6c 61 5f 64 61 5f 6c 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c la_da_lh_lddec_Slot_inst_encode,
3e4c0 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3e4e0 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 n.Opcode_mula_da_lh_ldinc_encode
3e500 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c _fns[].=.{...Opcode_mula_da_lh_l
3e520 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a dinc_Slot_inst_encode,.0,.0.};..
3e540 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d xtensa_opcode_encode_fn.Opcode_m
3e560 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b ula_da_hh_lddec_encode_fns[].=.{
3e580 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f ...Opcode_mula_da_hh_lddec_Slot_
3e5a0 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
3e5c0 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 68 5f ode_encode_fn.Opcode_mula_da_hh_
3e5e0 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f ldinc_encode_fns[].=.{...Opcode_
3e600 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 mula_da_hh_ldinc_Slot_inst_encod
3e620 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3e640 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f _fn.Opcode_mula_dd_ll_lddec_enco
3e660 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c de_fns[].=.{...Opcode_mula_dd_ll
3e680 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _lddec_Slot_inst_encode,.0,.0.};
3e6a0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3e6c0 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d _mula_dd_ll_ldinc_encode_fns[].=
3e6e0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 69 6e 63 5f 53 6c 6f .{...Opcode_mula_dd_ll_ldinc_Slo
3e700 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3e720 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 pcode_encode_fn.Opcode_mula_dd_h
3e740 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 l_lddec_encode_fns[].=.{...Opcod
3e760 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 e_mula_dd_hl_lddec_Slot_inst_enc
3e780 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3e7a0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 69 6e 63 5f 65 6e de_fn.Opcode_mula_dd_hl_ldinc_en
3e7c0 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f code_fns[].=.{...Opcode_mula_dd_
3e7e0 68 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a hl_ldinc_Slot_inst_encode,.0,.0.
3e800 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3e820 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d de_mula_dd_lh_lddec_encode_fns[]
3e840 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 64 65 63 5f 53 .=.{...Opcode_mula_dd_lh_lddec_S
3e860 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
3e880 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 _opcode_encode_fn.Opcode_mula_dd
3e8a0 5f 6c 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _lh_ldinc_encode_fns[].=.{...Opc
3e8c0 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ode_mula_dd_lh_ldinc_Slot_inst_e
3e8e0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3e900 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 64 65 63 5f code_fn.Opcode_mula_dd_hh_lddec_
3e920 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 encode_fns[].=.{...Opcode_mula_d
3e940 64 5f 68 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 d_hh_lddec_Slot_inst_encode,.0,.
3e960 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
3e980 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_mula_dd_hh_ldinc_encode_fns
3e9a0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 69 6e 63 [].=.{...Opcode_mula_dd_hh_ldinc
3e9c0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3e9e0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 64 64 65 63 sa_opcode_encode_fn.Opcode_lddec
3ea00 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 64 64 65 63 _encode_fns[].=.{...Opcode_lddec
3ea20 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3ea40 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 64 69 6e 63 sa_opcode_encode_fn.Opcode_ldinc
3ea60 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 64 69 6e 63 _encode_fns[].=.{...Opcode_ldinc
3ea80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3eaa0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d sa_opcode_encode_fn.Opcode_rsr_m
3eac0 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 0_encode_fns[].=.{...Opcode_rsr_
3eae0 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 m0_Slot_inst_encode,.0,.0.};..xt
3eb00 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 ensa_opcode_encode_fn.Opcode_wsr
3eb20 5f 6d 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 _m0_encode_fns[].=.{...Opcode_ws
3eb40 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a r_m0_Slot_inst_encode,.0,.0.};..
3eb60 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
3eb80 73 72 5f 6d 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f sr_m0_encode_fns[].=.{...Opcode_
3eba0 78 73 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b xsr_m0_Slot_inst_encode,.0,.0.};
3ebc0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
3ebe0 5f 72 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 _rsr_m1_encode_fns[].=.{...Opcod
3ec00 65 5f 72 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a e_rsr_m1_Slot_inst_encode,.0,.0.
3ec20 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3ec40 64 65 5f 77 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 de_wsr_m1_encode_fns[].=.{...Opc
3ec60 6f 64 65 5f 77 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 ode_wsr_m1_Slot_inst_encode,.0,.
3ec80 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
3eca0 63 6f 64 65 5f 78 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f code_xsr_m1_encode_fns[].=.{...O
3ecc0 70 63 6f 64 65 5f 78 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 pcode_xsr_m1_Slot_inst_encode,.0
3ece0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3ed00 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 Opcode_rsr_m2_encode_fns[].=.{..
3ed20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c .Opcode_rsr_m2_Slot_inst_encode,
3ed40 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
3ed60 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b n.Opcode_wsr_m2_encode_fns[].=.{
3ed80 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ...Opcode_wsr_m2_Slot_inst_encod
3eda0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3edc0 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d _fn.Opcode_xsr_m2_encode_fns[].=
3ede0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .{...Opcode_xsr_m2_Slot_inst_enc
3ee00 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
3ee20 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d de_fn.Opcode_rsr_m3_encode_fns[]
3ee40 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .=.{...Opcode_rsr_m3_Slot_inst_e
3ee60 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3ee80 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_fn.Opcode_wsr_m3_encode_fns
3eea0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 33 5f 53 6c 6f 74 5f 69 6e 73 74 [].=.{...Opcode_wsr_m3_Slot_inst
3eec0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
3eee0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 encode_fn.Opcode_xsr_m3_encode_f
3ef00 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 33 5f 53 6c 6f 74 5f 69 6e ns[].=.{...Opcode_xsr_m3_Slot_in
3ef20 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3ef40 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 e_encode_fn.Opcode_rsr_acclo_enc
3ef60 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 6c 6f ode_fns[].=.{...Opcode_rsr_acclo
3ef80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3efa0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 sa_opcode_encode_fn.Opcode_wsr_a
3efc0 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 cclo_encode_fns[].=.{...Opcode_w
3efe0 73 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a sr_acclo_Slot_inst_encode,.0,.0.
3f000 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3f020 64 65 5f 78 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_xsr_acclo_encode_fns[].=.{...
3f040 4f 70 63 6f 64 65 5f 78 73 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_xsr_acclo_Slot_inst_encod
3f060 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
3f080 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_rsr_acchi_encode_fns[
3f0a0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 68 69 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_rsr_acchi_Slot_in
3f0c0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
3f0e0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 63 63 68 69 5f 65 6e 63 e_encode_fn.Opcode_wsr_acchi_enc
3f100 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 63 63 68 69 ode_fns[].=.{...Opcode_wsr_acchi
3f120 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
3f140 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 sa_opcode_encode_fn.Opcode_xsr_a
3f160 63 63 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 cchi_encode_fns[].=.{...Opcode_x
3f180 73 72 5f 61 63 63 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a sr_acchi_Slot_inst_encode,.0,.0.
3f1a0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
3f1c0 64 65 5f 72 66 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 de_rfi_encode_fns[].=.{...Opcode
3f1e0 5f 72 66 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a _rfi_Slot_inst_encode,.0,.0.};..
3f200 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 xtensa_opcode_encode_fn.Opcode_w
3f220 61 69 74 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 aiti_encode_fns[].=.{...Opcode_w
3f240 61 69 74 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a aiti_Slot_inst_encode,.0,.0.};..
3f260 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 xtensa_opcode_encode_fn.Opcode_r
3f280 73 72 5f 69 6e 74 65 72 72 75 70 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 sr_interrupt_encode_fns[].=.{...
3f2a0 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 Opcode_rsr_interrupt_Slot_inst_e
3f2c0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
3f2e0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 73 65 74 5f 65 6e 63 6f 64 65 code_fn.Opcode_wsr_intset_encode
3f300 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 73 65 74 5f 53 _fns[].=.{...Opcode_wsr_intset_S
3f320 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
3f340 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 _opcode_encode_fn.Opcode_wsr_int
3f360 63 6c 65 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f clear_encode_fns[].=.{...Opcode_
3f380 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 wsr_intclear_Slot_inst_encode,.0
3f3a0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3f3c0 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b Opcode_rsr_intenable_encode_fns[
3f3e0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f ].=.{...Opcode_rsr_intenable_Slo
3f400 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3f420 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 65 6e pcode_encode_fn.Opcode_wsr_inten
3f440 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 able_encode_fns[].=.{...Opcode_w
3f460 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 sr_intenable_Slot_inst_encode,.0
3f480 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
3f4a0 4f 70 63 6f 64 65 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b Opcode_xsr_intenable_encode_fns[
3f4c0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f ].=.{...Opcode_xsr_intenable_Slo
3f4e0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3f500 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 72 65 61 6b 5f 65 6e 63 pcode_encode_fn.Opcode_break_enc
3f520 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 72 65 61 6b 5f 53 6c 6f ode_fns[].=.{...Opcode_break_Slo
3f540 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
3f560 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 72 65 61 6b 5f 6e 5f 65 pcode_encode_fn.Opcode_break_n_e
3f580 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 62 ncode_fns[].=.{...0,.0,.Opcode_b
3f5a0 72 65 61 6b 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 reak_n_Slot_inst16b_encode.};..x
3f5c0 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 tensa_opcode_encode_fn.Opcode_rs
3f5e0 72 5f 64 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 r_dbreaka0_encode_fns[].=.{...Op
3f600 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_rsr_dbreaka0_Slot_inst_enco
3f620 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
3f640 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f e_fn.Opcode_wsr_dbreaka0_encode_
3f660 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f fns[].=.{...Opcode_wsr_dbreaka0_
3f680 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
3f6a0 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 a_opcode_encode_fn.Opcode_xsr_db
3f6c0 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 reaka0_encode_fns[].=.{...Opcode
3f6e0 5f 78 73 72 5f 64 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 _xsr_dbreaka0_Slot_inst_encode,.
3f700 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
3f720 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b .Opcode_rsr_dbreakc0_encode_fns[
3f740 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 ].=.{...Opcode_rsr_dbreakc0_Slot
3f760 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
3f780 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b code_encode_fn.Opcode_wsr_dbreak
3f7a0 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 c0_encode_fns[].=.{...Opcode_wsr
3f7c0 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 _dbreakc0_Slot_inst_encode,.0,.0
3f7e0 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
3f800 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 ode_xsr_dbreakc0_encode_fns[].=.
3f820 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 5f 69 6e 73 {...Opcode_xsr_dbreakc0_Slot_ins
3f840 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
3f860 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 _encode_fn.Opcode_rsr_dbreaka1_e
3f880 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 ncode_fns[].=.{...Opcode_rsr_dbr
3f8a0 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a eaka1_Slot_inst_encode,.0,.0.};.
3f8c0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
3f8e0 77 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 wsr_dbreaka1_encode_fns[].=.{...
3f900 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e Opcode_wsr_dbreaka1_Slot_inst_en
3f920 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
3f940 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 ode_fn.Opcode_xsr_dbreaka1_encod
3f960 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 e_fns[].=.{...Opcode_xsr_dbreaka
3f980 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 1_Slot_inst_encode,.0,.0.};..xte
3f9a0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f nsa_opcode_encode_fn.Opcode_rsr_
3f9c0 64 62 72 65 61 6b 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f dbreakc1_encode_fns[].=.{...Opco
3f9e0 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 de_rsr_dbreakc1_Slot_inst_encode
3fa00 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
3fa20 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 65 6e 63 6f 64 65 5f 66 6e fn.Opcode_wsr_dbreakc1_encode_fn
3fa40 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 53 6c s[].=.{...Opcode_wsr_dbreakc1_Sl
3fa60 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
3fa80 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 opcode_encode_fn.Opcode_xsr_dbre
3faa0 61 6b 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 akc1_encode_fns[].=.{...Opcode_x
3fac0 73 72 5f 64 62 72 65 61 6b 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c sr_dbreakc1_Slot_inst_encode,.0,
3fae0 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
3fb00 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 pcode_rsr_ibreaka0_encode_fns[].
3fb20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 =.{...Opcode_rsr_ibreaka0_Slot_i
3fb40 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
3fb60 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 de_encode_fn.Opcode_wsr_ibreaka0
3fb80 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 _encode_fns[].=.{...Opcode_wsr_i
3fba0 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d breaka0_Slot_inst_encode,.0,.0.}
3fbc0 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 ;..xtensa_opcode_encode_fn.Opcod
3fbe0 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a e_xsr_ibreaka0_encode_fns[].=.{.
3fc00 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f ..Opcode_xsr_ibreaka0_Slot_inst_
3fc20 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
3fc40 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 5f 65 6e 63 ncode_fn.Opcode_rsr_ibreaka1_enc
3fc60 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 ode_fns[].=.{...Opcode_rsr_ibrea
3fc80 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 ka1_Slot_inst_encode,.0,.0.};..x
3fca0 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 tensa_opcode_encode_fn.Opcode_ws
3fcc0 72 5f 69 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 r_ibreaka1_encode_fns[].=.{...Op
3fce0 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_wsr_ibreaka1_Slot_inst_enco
3fd00 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
3fd20 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f e_fn.Opcode_xsr_ibreaka1_encode_
3fd40 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 5f fns[].=.{...Opcode_xsr_ibreaka1_
3fd60 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
3fd80 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 a_opcode_encode_fn.Opcode_rsr_ib
3fda0 72 65 61 6b 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 reakenable_encode_fns[].=.{...Op
3fdc0 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f code_rsr_ibreakenable_Slot_inst_
3fde0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
3fe00 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 ncode_fn.Opcode_wsr_ibreakenable
3fe20 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 _encode_fns[].=.{...Opcode_wsr_i
3fe40 62 72 65 61 6b 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c breakenable_Slot_inst_encode,.0,
3fe60 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
3fe80 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e pcode_xsr_ibreakenable_encode_fn
3fea0 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c s[].=.{...Opcode_xsr_ibreakenabl
3fec0 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 e_Slot_inst_encode,.0,.0.};..xte
3fee0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f nsa_opcode_encode_fn.Opcode_rsr_
3ff00 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 debugcause_encode_fns[].=.{...Op
3ff20 63 6f 64 65 5f 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e code_rsr_debugcause_Slot_inst_en
3ff40 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
3ff60 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 ode_fn.Opcode_wsr_debugcause_enc
3ff80 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 65 62 75 67 ode_fns[].=.{...Opcode_wsr_debug
3ffa0 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a cause_Slot_inst_encode,.0,.0.};.
3ffc0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
3ffe0 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a xsr_debugcause_encode_fns[].=.{.
40000 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 ..Opcode_xsr_debugcause_Slot_ins
40020 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
40040 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 5f 65 6e 63 _encode_fn.Opcode_rsr_icount_enc
40060 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e ode_fns[].=.{...Opcode_rsr_icoun
40080 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 t_Slot_inst_encode,.0,.0.};..xte
400a0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f nsa_opcode_encode_fn.Opcode_wsr_
400c0 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 icount_encode_fns[].=.{...Opcode
400e0 5f 77 73 72 5f 69 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c _wsr_icount_Slot_inst_encode,.0,
40100 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
40120 70 63 6f 64 65 5f 78 73 72 5f 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 pcode_xsr_icount_encode_fns[].=.
40140 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f {...Opcode_xsr_icount_Slot_inst_
40160 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
40180 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f ncode_fn.Opcode_rsr_icountlevel_
401a0 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 encode_fns[].=.{...Opcode_rsr_ic
401c0 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 ountlevel_Slot_inst_encode,.0,.0
401e0 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
40200 6f 64 65 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d ode_wsr_icountlevel_encode_fns[]
40220 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c .=.{...Opcode_wsr_icountlevel_Sl
40240 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
40260 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 63 6f 75 opcode_encode_fn.Opcode_xsr_icou
40280 6e 74 6c 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 ntlevel_encode_fns[].=.{...Opcod
402a0 65 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f e_xsr_icountlevel_Slot_inst_enco
402c0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
402e0 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d e_fn.Opcode_rsr_ddr_encode_fns[]
40300 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f .=.{...Opcode_rsr_ddr_Slot_inst_
40320 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
40340 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 64 72 5f 65 6e 63 6f 64 65 5f 66 ncode_fn.Opcode_wsr_ddr_encode_f
40360 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 ns[].=.{...Opcode_wsr_ddr_Slot_i
40380 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
403a0 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 64 72 5f 65 6e 63 6f de_encode_fn.Opcode_xsr_ddr_enco
403c0 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 64 72 5f 53 6c de_fns[].=.{...Opcode_xsr_ddr_Sl
403e0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
40400 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 64 6f 5f 65 6e 63 opcode_encode_fn.Opcode_rfdo_enc
40420 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 66 64 6f 5f 53 6c 6f 74 ode_fns[].=.{...Opcode_rfdo_Slot
40440 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
40460 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 64 64 5f 65 6e 63 6f 64 code_encode_fn.Opcode_rfdd_encod
40480 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 66 64 64 5f 53 6c 6f 74 5f 69 e_fns[].=.{...Opcode_rfdd_Slot_i
404a0 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
404c0 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 6d 69 64 5f 65 6e 63 de_encode_fn.Opcode_wsr_mmid_enc
404e0 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 6d 69 64 5f ode_fns[].=.{...Opcode_wsr_mmid_
40500 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
40520 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 a_opcode_encode_fn.Opcode_rsr_cc
40540 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 ount_encode_fns[].=.{...Opcode_r
40560 73 72 5f 63 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 sr_ccount_Slot_inst_encode,.0,.0
40580 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
405a0 6f 64 65 5f 77 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a ode_wsr_ccount_encode_fns[].=.{.
405c0 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ..Opcode_wsr_ccount_Slot_inst_en
405e0 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
40600 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f ode_fn.Opcode_xsr_ccount_encode_
40620 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 75 6e 74 5f 53 6c fns[].=.{...Opcode_xsr_ccount_Sl
40640 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
40660 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_rsr_ccom
40680 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare0_encode_fns[].=.{...Opcode_
406a0 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 rsr_ccompare0_Slot_inst_encode,.
406c0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
406e0 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_wsr_ccompare0_encode_fns
40700 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c [].=.{...Opcode_wsr_ccompare0_Sl
40720 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
40740 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_xsr_ccom
40760 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare0_encode_fns[].=.{...Opcode_
40780 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 xsr_ccompare0_Slot_inst_encode,.
407a0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
407c0 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_rsr_ccompare1_encode_fns
407e0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c [].=.{...Opcode_rsr_ccompare1_Sl
40800 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
40820 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_wsr_ccom
40840 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare1_encode_fns[].=.{...Opcode_
40860 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 wsr_ccompare1_Slot_inst_encode,.
40880 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
408a0 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_xsr_ccompare1_encode_fns
408c0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c [].=.{...Opcode_xsr_ccompare1_Sl
408e0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
40900 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_rsr_ccom
40920 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare2_encode_fns[].=.{...Opcode_
40940 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 rsr_ccompare2_Slot_inst_encode,.
40960 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
40980 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_wsr_ccompare2_encode_fns
409a0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c [].=.{...Opcode_wsr_ccompare2_Sl
409c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
409e0 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_xsr_ccom
40a00 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare2_encode_fns[].=.{...Opcode_
40a20 78 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 xsr_ccompare2_Slot_inst_encode,.
40a40 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
40a60 20 4f 70 63 6f 64 65 5f 69 70 66 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f .Opcode_ipf_encode_fns[].=.{...O
40a80 70 63 6f 64 65 5f 69 70 66 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 pcode_ipf_Slot_inst_encode,.0,.0
40aa0 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
40ac0 6f 64 65 5f 69 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 ode_ihi_encode_fns[].=.{...Opcod
40ae0 65 5f 69 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a e_ihi_Slot_inst_encode,.0,.0.};.
40b00 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
40b20 69 70 66 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 ipfl_encode_fns[].=.{...Opcode_i
40b40 70 66 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 pfl_Slot_inst_encode,.0,.0.};..x
40b60 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 68 tensa_opcode_encode_fn.Opcode_ih
40b80 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 68 75 5f u_encode_fns[].=.{...Opcode_ihu_
40ba0 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
40bc0 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 69 75 5f 65 6e a_opcode_encode_fn.Opcode_iiu_en
40be0 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 69 75 5f 53 6c 6f 74 code_fns[].=.{...Opcode_iiu_Slot
40c00 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
40c20 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 69 69 5f 65 6e 63 6f 64 65 code_encode_fn.Opcode_iii_encode
40c40 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 69 69 5f 53 6c 6f 74 5f 69 6e 73 _fns[].=.{...Opcode_iii_Slot_ins
40c60 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
40c80 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 69 63 74 5f 65 6e 63 6f 64 65 5f 66 6e _encode_fn.Opcode_lict_encode_fn
40ca0 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 69 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f s[].=.{...Opcode_lict_Slot_inst_
40cc0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
40ce0 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 69 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b ncode_fn.Opcode_licw_encode_fns[
40d00 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 69 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ].=.{...Opcode_licw_Slot_inst_en
40d20 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
40d40 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 69 63 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 ode_fn.Opcode_sict_encode_fns[].
40d60 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 69 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f =.{...Opcode_sict_Slot_inst_enco
40d80 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
40da0 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 69 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 e_fn.Opcode_sicw_encode_fns[].=.
40dc0 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 69 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 {...Opcode_sicw_Slot_inst_encode
40de0 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
40e00 66 6e 20 4f 70 63 6f 64 65 5f 64 68 77 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a fn.Opcode_dhwb_encode_fns[].=.{.
40e20 20 20 4f 70 63 6f 64 65 5f 64 68 77 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 ..Opcode_dhwb_Slot_inst_encode,.
40e40 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
40e60 20 4f 70 63 6f 64 65 5f 64 68 77 62 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 .Opcode_dhwbi_encode_fns[].=.{..
40e80 20 4f 70 63 6f 64 65 5f 64 68 77 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 .Opcode_dhwbi_Slot_inst_encode,.
40ea0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
40ec0 20 4f 70 63 6f 64 65 5f 64 69 77 62 75 69 5f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 .Opcode_diwbui_p_encode_fns[].=.
40ee0 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 75 69 5f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e {...Opcode_diwbui_p_Slot_inst_en
40f00 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
40f20 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 69 77 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 ode_fn.Opcode_diwb_encode_fns[].
40f40 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f =.{...Opcode_diwb_Slot_inst_enco
40f60 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
40f80 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 69 77 62 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d e_fn.Opcode_diwbi_encode_fns[].=
40fa0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .{...Opcode_diwbi_Slot_inst_enco
40fc0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
40fe0 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b e_fn.Opcode_dhi_encode_fns[].=.{
41000 0a 20 20 4f 70 63 6f 64 65 5f 64 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 ...Opcode_dhi_Slot_inst_encode,.
41020 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
41040 20 4f 70 63 6f 64 65 5f 64 69 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f .Opcode_dii_encode_fns[].=.{...O
41060 70 63 6f 64 65 5f 64 69 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 pcode_dii_Slot_inst_encode,.0,.0
41080 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
410a0 6f 64 65 5f 64 70 66 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f ode_dpfr_encode_fns[].=.{...Opco
410c0 64 65 5f 64 70 66 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d de_dpfr_Slot_inst_encode,.0,.0.}
410e0 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 ;..xtensa_opcode_encode_fn.Opcod
41100 65 5f 64 70 66 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 e_dpfw_encode_fns[].=.{...Opcode
41120 5f 64 70 66 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a _dpfw_Slot_inst_encode,.0,.0.};.
41140 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
41160 64 70 66 72 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f dpfro_encode_fns[].=.{...Opcode_
41180 64 70 66 72 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a dpfro_Slot_inst_encode,.0,.0.};.
411a0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
411c0 64 70 66 77 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f dpfwo_encode_fns[].=.{...Opcode_
411e0 64 70 66 77 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a dpfwo_Slot_inst_encode,.0,.0.};.
41200 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
41220 64 70 66 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 dpfl_encode_fns[].=.{...Opcode_d
41240 70 66 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 pfl_Slot_inst_encode,.0,.0.};..x
41260 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 68 tensa_opcode_encode_fn.Opcode_dh
41280 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 68 75 5f u_encode_fns[].=.{...Opcode_dhu_
412a0 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
412c0 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 69 75 5f 65 6e a_opcode_encode_fn.Opcode_diu_en
412e0 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 69 75 5f 53 6c 6f 74 code_fns[].=.{...Opcode_diu_Slot
41300 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
41320 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 64 63 74 5f 65 6e 63 6f 64 code_encode_fn.Opcode_sdct_encod
41340 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 64 63 74 5f 53 6c 6f 74 5f 69 e_fns[].=.{...Opcode_sdct_Slot_i
41360 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
41380 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 64 63 74 5f 65 6e 63 6f 64 65 5f de_encode_fn.Opcode_ldct_encode_
413a0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 64 63 74 5f 53 6c 6f 74 5f 69 6e 73 fns[].=.{...Opcode_ldct_Slot_ins
413c0 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
413e0 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 65 _encode_fn.Opcode_wsr_ptevaddr_e
41400 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 70 74 65 ncode_fns[].=.{...Opcode_wsr_pte
41420 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a vaddr_Slot_inst_encode,.0,.0.};.
41440 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
41460 72 73 72 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 rsr_ptevaddr_encode_fns[].=.{...
41480 4f 70 63 6f 64 65 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e Opcode_rsr_ptevaddr_Slot_inst_en
414a0 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
414c0 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 ode_fn.Opcode_xsr_ptevaddr_encod
414e0 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 70 74 65 76 61 64 64 e_fns[].=.{...Opcode_xsr_ptevadd
41500 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 r_Slot_inst_encode,.0,.0.};..xte
41520 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f nsa_opcode_encode_fn.Opcode_rsr_
41540 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f rasid_encode_fns[].=.{...Opcode_
41560 72 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 rsr_rasid_Slot_inst_encode,.0,.0
41580 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
415a0 6f 64 65 5f 77 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 ode_wsr_rasid_encode_fns[].=.{..
415c0 20 4f 70 63 6f 64 65 5f 77 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .Opcode_wsr_rasid_Slot_inst_enco
415e0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
41600 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 e_fn.Opcode_xsr_rasid_encode_fns
41620 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 [].=.{...Opcode_xsr_rasid_Slot_i
41640 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
41660 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f de_encode_fn.Opcode_rsr_itlbcfg_
41680 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 74 encode_fns[].=.{...Opcode_rsr_it
416a0 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a lbcfg_Slot_inst_encode,.0,.0.};.
416c0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
416e0 77 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f wsr_itlbcfg_encode_fns[].=.{...O
41700 70 63 6f 64 65 5f 77 73 72 5f 69 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f pcode_wsr_itlbcfg_Slot_inst_enco
41720 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
41740 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 e_fn.Opcode_xsr_itlbcfg_encode_f
41760 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 53 6c ns[].=.{...Opcode_xsr_itlbcfg_Sl
41780 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
417a0 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 74 6c 62 opcode_encode_fn.Opcode_rsr_dtlb
417c0 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 cfg_encode_fns[].=.{...Opcode_rs
417e0 72 5f 64 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 r_dtlbcfg_Slot_inst_encode,.0,.0
41800 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
41820 6f 64 65 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b ode_wsr_dtlbcfg_encode_fns[].=.{
41840 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f ...Opcode_wsr_dtlbcfg_Slot_inst_
41860 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
41880 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 65 6e 63 6f ncode_fn.Opcode_xsr_dtlbcfg_enco
418a0 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 74 6c 62 63 66 de_fns[].=.{...Opcode_xsr_dtlbcf
418c0 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 g_Slot_inst_encode,.0,.0.};..xte
418e0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 64 74 6c nsa_opcode_encode_fn.Opcode_idtl
41900 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 64 74 6c b_encode_fns[].=.{...Opcode_idtl
41920 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 b_Slot_inst_encode,.0,.0.};..xte
41940 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 70 64 74 6c nsa_opcode_encode_fn.Opcode_pdtl
41960 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 70 64 74 6c b_encode_fns[].=.{...Opcode_pdtl
41980 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 b_Slot_inst_encode,.0,.0.};..xte
419a0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 64 74 6c nsa_opcode_encode_fn.Opcode_rdtl
419c0 62 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 64 74 b0_encode_fns[].=.{...Opcode_rdt
419e0 6c 62 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 lb0_Slot_inst_encode,.0,.0.};..x
41a00 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 64 tensa_opcode_encode_fn.Opcode_rd
41a20 74 6c 62 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 tlb1_encode_fns[].=.{...Opcode_r
41a40 64 74 6c 62 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a dtlb1_Slot_inst_encode,.0,.0.};.
41a60 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
41a80 77 64 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f wdtlb_encode_fns[].=.{...Opcode_
41aa0 77 64 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a wdtlb_Slot_inst_encode,.0,.0.};.
41ac0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
41ae0 69 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f iitlb_encode_fns[].=.{...Opcode_
41b00 69 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a iitlb_Slot_inst_encode,.0,.0.};.
41b20 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
41b40 70 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pitlb_encode_fns[].=.{...Opcode_
41b60 70 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a pitlb_Slot_inst_encode,.0,.0.};.
41b80 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
41ba0 72 69 74 6c 62 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 ritlb0_encode_fns[].=.{...Opcode
41bc0 5f 72 69 74 6c 62 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d _ritlb0_Slot_inst_encode,.0,.0.}
41be0 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 ;..xtensa_opcode_encode_fn.Opcod
41c00 65 5f 72 69 74 6c 62 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f e_ritlb1_encode_fns[].=.{...Opco
41c20 64 65 5f 72 69 74 6c 62 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 de_ritlb1_Slot_inst_encode,.0,.0
41c40 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
41c60 6f 64 65 5f 77 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 ode_witlb_encode_fns[].=.{...Opc
41c80 6f 64 65 5f 77 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 ode_witlb_Slot_inst_encode,.0,.0
41ca0 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
41cc0 6f 64 65 5f 6c 64 70 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 ode_ldpte_encode_fns[].=.{...Opc
41ce0 6f 64 65 5f 6c 64 70 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 ode_ldpte_Slot_inst_encode,.0,.0
41d00 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
41d20 6f 64 65 5f 68 77 77 69 74 6c 62 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 ode_hwwitlba_encode_fns[].=.{...
41d40 4f 70 63 6f 64 65 5f 68 77 77 69 74 6c 62 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 Opcode_hwwitlba_Slot_inst_encode
41d60 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
41d80 66 6e 20 4f 70 63 6f 64 65 5f 68 77 77 64 74 6c 62 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 fn.Opcode_hwwdtlba_encode_fns[].
41da0 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 68 77 77 64 74 6c 62 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.{...Opcode_hwwdtlba_Slot_inst_
41dc0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
41de0 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 ncode_fn.Opcode_rsr_cpenable_enc
41e00 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 70 65 6e 61 ode_fns[].=.{...Opcode_rsr_cpena
41e20 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 ble_Slot_inst_encode,.0,.0.};..x
41e40 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 tensa_opcode_encode_fn.Opcode_ws
41e60 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 r_cpenable_encode_fns[].=.{...Op
41e80 63 6f 64 65 5f 77 73 72 5f 63 70 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_wsr_cpenable_Slot_inst_enco
41ea0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
41ec0 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f e_fn.Opcode_xsr_cpenable_encode_
41ee0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f fns[].=.{...Opcode_xsr_cpenable_
41f00 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
41f20 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 6c 61 6d 70 73 a_opcode_encode_fn.Opcode_clamps
41f40 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 6c 61 6d 70 _encode_fns[].=.{...Opcode_clamp
41f60 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 s_Slot_inst_encode,.0,.0.};..xte
41f80 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 69 6e 5f nsa_opcode_encode_fn.Opcode_min_
41fa0 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 69 6e 5f 53 6c encode_fns[].=.{...Opcode_min_Sl
41fc0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
41fe0 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 61 78 5f 65 6e 63 6f opcode_encode_fn.Opcode_max_enco
42000 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 61 78 5f 53 6c 6f 74 5f 69 de_fns[].=.{...Opcode_max_Slot_i
42020 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
42040 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 69 6e 75 5f 65 6e 63 6f 64 65 5f de_encode_fn.Opcode_minu_encode_
42060 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 69 6e 75 5f 53 6c 6f 74 5f 69 6e 73 fns[].=.{...Opcode_minu_Slot_ins
42080 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
420a0 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 61 78 75 5f 65 6e 63 6f 64 65 5f 66 6e _encode_fn.Opcode_maxu_encode_fn
420c0 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 61 78 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f s[].=.{...Opcode_maxu_Slot_inst_
420e0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
42100 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6e 73 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d ncode_fn.Opcode_nsa_encode_fns[]
42120 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6e 73 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .=.{...Opcode_nsa_Slot_inst_enco
42140 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
42160 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6e 73 61 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 e_fn.Opcode_nsau_encode_fns[].=.
42180 7b 0a 20 20 4f 70 63 6f 64 65 5f 6e 73 61 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 {...Opcode_nsau_Slot_inst_encode
421a0 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
421c0 66 6e 20 4f 70 63 6f 64 65 5f 73 65 78 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a fn.Opcode_sext_encode_fns[].=.{.
421e0 20 20 4f 70 63 6f 64 65 5f 73 65 78 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 ..Opcode_sext_Slot_inst_encode,.
42200 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
42220 20 4f 70 63 6f 64 65 5f 6c 33 32 61 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 .Opcode_l32ai_encode_fns[].=.{..
42240 20 4f 70 63 6f 64 65 5f 6c 33 32 61 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 .Opcode_l32ai_Slot_inst_encode,.
42260 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
42280 20 4f 70 63 6f 64 65 5f 73 33 32 72 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 .Opcode_s32ri_encode_fns[].=.{..
422a0 20 4f 70 63 6f 64 65 5f 73 33 32 72 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 .Opcode_s32ri_Slot_inst_encode,.
422c0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
422e0 20 4f 70 63 6f 64 65 5f 73 33 32 63 31 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a .Opcode_s32c1i_encode_fns[].=.{.
42300 20 20 4f 70 63 6f 64 65 5f 73 33 32 63 31 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 ..Opcode_s32c1i_Slot_inst_encode
42320 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
42340 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 fn.Opcode_rsr_scompare1_encode_f
42360 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f ns[].=.{...Opcode_rsr_scompare1_
42380 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
423a0 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 73 63 a_opcode_encode_fn.Opcode_wsr_sc
423c0 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 ompare1_encode_fns[].=.{...Opcod
423e0 65 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 e_wsr_scompare1_Slot_inst_encode
42400 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
42420 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 fn.Opcode_xsr_scompare1_encode_f
42440 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f ns[].=.{...Opcode_xsr_scompare1_
42460 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
42480 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 74 a_opcode_encode_fn.Opcode_rsr_at
424a0 6f 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f omctl_encode_fns[].=.{...Opcode_
424c0 72 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c rsr_atomctl_Slot_inst_encode,.0,
424e0 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
42500 70 63 6f 64 65 5f 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d pcode_wsr_atomctl_encode_fns[].=
42520 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 .{...Opcode_wsr_atomctl_Slot_ins
42540 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
42560 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 65 6e _encode_fn.Opcode_xsr_atomctl_en
42580 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 74 6f 6d code_fns[].=.{...Opcode_xsr_atom
425a0 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 ctl_Slot_inst_encode,.0,.0.};..x
425c0 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 71 75 tensa_opcode_encode_fn.Opcode_qu
425e0 6f 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 71 75 6f ou_encode_fns[].=.{...Opcode_quo
42600 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 u_Slot_inst_encode,.0,.0.};..xte
42620 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 71 75 6f 73 nsa_opcode_encode_fn.Opcode_quos
42640 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 71 75 6f 73 5f _encode_fns[].=.{...Opcode_quos_
42660 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
42680 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 6d 75 5f 65 a_opcode_encode_fn.Opcode_remu_e
426a0 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 6d 75 5f 53 6c ncode_fns[].=.{...Opcode_remu_Sl
426c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
426e0 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 6d 73 5f 65 6e 63 opcode_encode_fn.Opcode_rems_enc
42700 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 6d 73 5f 53 6c 6f 74 ode_fns[].=.{...Opcode_rems_Slot
42720 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
42740 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 72 5f 65 6e 63 6f 64 65 code_encode_fn.Opcode_rer_encode
42760 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 72 5f 53 6c 6f 74 5f 69 6e 73 _fns[].=.{...Opcode_rer_Slot_ins
42780 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
427a0 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 65 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 _encode_fn.Opcode_wer_encode_fns
427c0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 65 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e [].=.{...Opcode_wer_Slot_inst_en
427e0 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
42800 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 ode_fn.Opcode_rur_expstate_encod
42820 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 75 72 5f 65 78 70 73 74 61 74 e_fns[].=.{...Opcode_rur_expstat
42840 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 e_Slot_inst_encode,.0,.0.};..xte
42860 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 75 72 5f nsa_opcode_encode_fn.Opcode_wur_
42880 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f expstate_encode_fns[].=.{...Opco
428a0 64 65 5f 77 75 72 5f 65 78 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 de_wur_expstate_Slot_inst_encode
428c0 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
428e0 66 6e 20 4f 70 63 6f 64 65 5f 72 65 61 64 5f 69 6d 70 77 69 72 65 5f 65 6e 63 6f 64 65 5f 66 6e fn.Opcode_read_impwire_encode_fn
42900 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 61 64 5f 69 6d 70 77 69 72 65 5f 53 6c s[].=.{...Opcode_read_impwire_Sl
42920 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
42940 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 65 74 62 5f 65 78 70 opcode_encode_fn.Opcode_setb_exp
42960 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f state_encode_fns[].=.{...Opcode_
42980 73 65 74 62 5f 65 78 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 setb_expstate_Slot_inst_encode,.
429a0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
429c0 20 4f 70 63 6f 64 65 5f 63 6c 72 62 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_clrb_expstate_encode_fns
429e0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 6c 72 62 5f 65 78 70 73 74 61 74 65 5f 53 6c [].=.{...Opcode_clrb_expstate_Sl
42a00 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
42a20 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 72 6d 73 6b 5f 65 78 opcode_encode_fn.Opcode_wrmsk_ex
42a40 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 pstate_encode_fns[].=.{...Opcode
42a60 5f 77 72 6d 73 6b 5f 65 78 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 _wrmsk_expstate_Slot_inst_encode
42a80 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 69 6e 74 20 6e 75 6d 5f 62 79 70 61 73 73 5f 67 72 6f 75 70 73 ,.0,.0.};..int.num_bypass_groups
42aa0 28 29 20 7b 0a 20 20 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 69 6e 74 20 6e 75 6d 5f 62 79 ().{.....return.0;.}..int.num_by
42ac0 70 61 73 73 5f 67 72 6f 75 70 5f 63 68 75 6e 6b 73 28 29 20 7b 0a 20 20 20 20 72 65 74 75 72 6e pass_group_chunks().{.....return
42ae0 20 30 3b 0a 7d 0a 0a 75 69 6e 74 33 32 20 2a 62 79 70 61 73 73 5f 65 6e 74 72 79 28 69 6e 74 20 .0;.}..uint32.*bypass_entry(int.
42b00 69 29 20 7b 0a 20 20 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 0c 0a 2f 2a 20 4f 70 63 6f 64 i).{.....return.0;.}..../*.Opcod
42b20 65 20 74 61 62 6c 65 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 6f 70 63 6f e.table...*/..static.xtensa_opco
42b40 64 65 5f 69 6e 74 65 72 6e 61 6c 20 6f 70 63 6f 64 65 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 22 65 de_internal.opcodes[].=.{...{."e
42b60 78 63 77 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 65 78 63 77 2c 0a 20 20 20 xcw",.ICLASS_xt_iclass_excw,....
42b80 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 65 78 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 .0,.....Opcode_excw_encode_fns,.
42ba0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 0,.0.},...{."rfe",.ICLASS_xt_icl
42bc0 61 73 73 5f 72 66 65 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 ass_rfe,.....XTENSA_OPCODE_IS_JU
42be0 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 MP,.....Opcode_rfe_encode_fns,.0
42c00 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 64 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ,.0.},...{."rfde",.ICLASS_xt_icl
42c20 61 73 73 5f 72 66 64 65 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a ass_rfde,.....XTENSA_OPCODE_IS_J
42c40 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c UMP,.....Opcode_rfde_encode_fns,
42c60 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 79 73 63 61 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 .0,.0.},...{."syscall",.ICLASS_x
42c80 74 5f 69 63 6c 61 73 73 5f 73 79 73 63 61 6c 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 t_iclass_syscall,.....0,.....Opc
42ca0 6f 64 65 5f 73 79 73 63 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a ode_syscall_encode_fns,.0,.0.},.
42cc0 20 20 7b 20 22 63 61 6c 6c 31 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 ..{."call12",.ICLASS_xt_iclass_c
42ce0 61 6c 6c 31 32 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 43 41 4c 4c all12,.....XTENSA_OPCODE_IS_CALL
42d00 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 31 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ,.....Opcode_call12_encode_fns,.
42d20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 38 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 0,.0.},...{."call8",.ICLASS_xt_i
42d40 63 6c 61 73 73 5f 63 61 6c 6c 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 class_call8,.....XTENSA_OPCODE_I
42d60 53 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 38 5f 65 6e 63 6f 64 65 5f S_CALL,.....Opcode_call8_encode_
42d80 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 34 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."call4",.ICLASS
42da0 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 34 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 _xt_iclass_call4,.....XTENSA_OPC
42dc0 4f 44 45 5f 49 53 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 34 5f 65 6e ODE_IS_CALL,.....Opcode_call4_en
42de0 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 78 31 32 22 2c code_fns,.0,.0.},...{."callx12",
42e00 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 31 32 2c 0a 20 20 20 20 58 .ICLASS_xt_iclass_callx12,.....X
42e20 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 TENSA_OPCODE_IS_CALL,.....Opcode
42e40 5f 63 61 6c 6c 78 31 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _callx12_encode_fns,.0,.0.},...{
42e60 20 22 63 61 6c 6c 78 38 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c ."callx8",.ICLASS_xt_iclass_call
42e80 78 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 43 41 4c 4c 2c 0a 20 x8,.....XTENSA_OPCODE_IS_CALL,..
42ea0 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ...Opcode_callx8_encode_fns,.0,.
42ec0 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 78 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 0.},...{."callx4",.ICLASS_xt_icl
42ee0 61 73 73 5f 63 61 6c 6c 78 34 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 ass_callx4,.....XTENSA_OPCODE_IS
42f00 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 34 5f 65 6e 63 6f 64 65 5f _CALL,.....Opcode_callx4_encode_
42f20 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 65 6e 74 72 79 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."entry",.ICLASS
42f40 5f 78 74 5f 69 63 6c 61 73 73 5f 65 6e 74 72 79 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _xt_iclass_entry,.....0,.....Opc
42f60 6f 64 65 5f 65 6e 74 72 79 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 ode_entry_encode_fns,.0,.0.},...
42f80 7b 20 22 6d 6f 76 73 70 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 73 {."movsp",.ICLASS_xt_iclass_movs
42fa0 70 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 73 70 5f 65 6e 63 6f 64 p,.....0,.....Opcode_movsp_encod
42fc0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 6f 74 77 22 2c 20 49 43 4c 41 53 e_fns,.0,.0.},...{."rotw",.ICLAS
42fe0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 6f 74 77 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 S_xt_iclass_rotw,.....0,.....Opc
43000 6f 64 65 5f 72 6f 74 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b ode_rotw_encode_fns,.0,.0.},...{
43020 20 22 72 65 74 77 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 77 2c 0a ."retw",.ICLASS_xt_iclass_retw,.
43040 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f ....XTENSA_OPCODE_IS_JUMP,.....O
43060 70 63 6f 64 65 5f 72 65 74 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 pcode_retw_encode_fns,.0,.0.},..
43080 20 7b 20 22 72 65 74 77 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 .{."retw.n",.ICLASS_xt_iclass_re
430a0 74 77 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 tw,.....XTENSA_OPCODE_IS_JUMP,..
430c0 20 20 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ...Opcode_retw_n_encode_fns,.0,.
430e0 30 20 7d 2c 0a 20 20 7b 20 22 72 66 77 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 0.},...{."rfwo",.ICLASS_xt_iclas
43100 73 5f 72 66 77 6f 75 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 s_rfwou,.....XTENSA_OPCODE_IS_JU
43120 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 77 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 MP,.....Opcode_rfwo_encode_fns,.
43140 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 77 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 0,.0.},...{."rfwu",.ICLASS_xt_ic
43160 6c 61 73 73 5f 72 66 77 6f 75 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 lass_rfwou,.....XTENSA_OPCODE_IS
43180 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 77 75 5f 65 6e 63 6f 64 65 5f 66 6e _JUMP,.....Opcode_rfwu_encode_fn
431a0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 33 32 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 s,.0,.0.},...{."l32e",.ICLASS_xt
431c0 5f 69 63 6c 61 73 73 5f 6c 33 32 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f _iclass_l32e,.....0,.....Opcode_
431e0 6c 33 32 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 l32e_encode_fns,.0,.0.},...{."s3
43200 32 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 65 2c 0a 20 20 20 20 2e",.ICLASS_xt_iclass_s32e,.....
43220 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 33 32 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 0,.....Opcode_s32e_encode_fns,.0
43240 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 77 69 6e 64 6f 77 62 61 73 65 22 2c 20 49 43 4c ,.0.},...{."rsr.windowbase",.ICL
43260 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 ASS_xt_iclass_rsr_windowbase,...
43280 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 ..0,.....Opcode_rsr_windowbase_e
432a0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 77 69 6e 64 ncode_fns,.0,.0.},...{."wsr.wind
432c0 6f 77 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 owbase",.ICLASS_xt_iclass_wsr_wi
432e0 6e 64 6f 77 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f ndowbase,.....0,.....Opcode_wsr_
43300 77 69 6e 64 6f 77 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 windowbase_encode_fns,.0,.0.},..
43320 20 7b 20 22 78 73 72 2e 77 69 6e 64 6f 77 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .{."xsr.windowbase",.ICLASS_xt_i
43340 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 class_xsr_windowbase,.....0,....
43360 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e .Opcode_xsr_windowbase_encode_fn
43380 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 77 69 6e 64 6f 77 73 74 61 72 74 22 s,.0,.0.},...{."rsr.windowstart"
433a0 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 ,.ICLASS_xt_iclass_rsr_windowsta
433c0 72 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 rt,.....0,.....Opcode_rsr_window
433e0 73 74 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 start_encode_fns,.0,.0.},...{."w
43400 73 72 2e 77 69 6e 64 6f 77 73 74 61 72 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 sr.windowstart",.ICLASS_xt_iclas
43420 73 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 s_wsr_windowstart,.....0,.....Op
43440 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c code_wsr_windowstart_encode_fns,
43460 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 77 69 6e 64 6f 77 73 74 61 72 74 22 2c 20 .0,.0.},...{."xsr.windowstart",.
43480 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 ICLASS_xt_iclass_xsr_windowstart
434a0 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 ,.....0,.....Opcode_xsr_windowst
434c0 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 art_encode_fns,.0,.0.},...{."add
434e0 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 5f 6e 2c 0a 20 20 20 .n",.ICLASS_xt_iclass_add_n,....
43500 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c .0,.....Opcode_add_n_encode_fns,
43520 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 69 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 .0,.0.},...{."addi.n",.ICLASS_xt
43540 5f 69 63 6c 61 73 73 5f 61 64 64 69 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 _iclass_addi_n,.....0,.....Opcod
43560 65 5f 61 64 64 69 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b e_addi_n_encode_fns,.0,.0.},...{
43580 20 22 62 65 71 7a 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 7a 36 2c ."beqz.n",.ICLASS_xt_iclass_bz6,
435a0 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 .....XTENSA_OPCODE_IS_BRANCH,...
435c0 20 20 4f 70 63 6f 64 65 5f 62 65 71 7a 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ..Opcode_beqz_n_encode_fns,.0,.0
435e0 20 7d 2c 0a 20 20 7b 20 22 62 6e 65 7a 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 .},...{."bnez.n",.ICLASS_xt_icla
43600 73 73 5f 62 7a 36 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 ss_bz6,.....XTENSA_OPCODE_IS_BRA
43620 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6e 65 7a 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e NCH,.....Opcode_bnez_n_encode_fn
43640 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6c 6c 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 s,.0,.0.},...{."ill.n",.ICLASS_x
43660 74 5f 69 63 6c 61 73 73 5f 69 6c 6c 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 t_iclass_ill_n,.....0,.....Opcod
43680 65 5f 69 6c 6c 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 e_ill_n_encode_fns,.0,.0.},...{.
436a0 22 6c 33 32 69 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 61 64 69 "l32i.n",.ICLASS_xt_iclass_loadi
436c0 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 6e 5f 65 6e 63 6f 4,.....0,.....Opcode_l32i_n_enco
436e0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 2e 6e 22 2c 20 49 43 4c de_fns,.0,.0.},...{."mov.n",.ICL
43700 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 ASS_xt_iclass_mov_n,.....0,.....
43720 4f 70 63 6f 64 65 5f 6d 6f 76 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c Opcode_mov_n_encode_fns,.0,.0.},
43740 0a 20 20 7b 20 22 6d 6f 76 69 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ...{."movi.n",.ICLASS_xt_iclass_
43760 6d 6f 76 69 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 6e movi_n,.....0,.....Opcode_movi_n
43780 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6e 6f 70 2e 6e 22 _encode_fns,.0,.0.},...{."nop.n"
437a0 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 6e 2c 0a 20 20 20 20 30 2c 0a ,.ICLASS_xt_iclass_nopn,.....0,.
437c0 20 20 20 20 4f 70 63 6f 64 65 5f 6e 6f 70 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ....Opcode_nop_n_encode_fns,.0,.
437e0 30 20 7d 2c 0a 20 20 7b 20 22 72 65 74 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 0.},...{."ret.n",.ICLASS_xt_icla
43800 73 73 5f 72 65 74 6e 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 ss_retn,.....XTENSA_OPCODE_IS_JU
43820 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 65 74 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c MP,.....Opcode_ret_n_encode_fns,
43840 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 69 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 .0,.0.},...{."s32i.n",.ICLASS_xt
43860 5f 69 63 6c 61 73 73 5f 73 74 6f 72 65 69 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f _iclass_storei4,.....0,.....Opco
43880 64 65 5f 73 33 32 69 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 de_s32i_n_encode_fns,.0,.0.},...
438a0 7b 20 22 72 75 72 2e 74 68 72 65 61 64 70 74 72 22 2c 20 49 43 4c 41 53 53 5f 72 75 72 5f 74 68 {."rur.threadptr",.ICLASS_rur_th
438c0 72 65 61 64 70 74 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 75 72 5f 74 readptr,.....0,.....Opcode_rur_t
438e0 68 72 65 61 64 70 74 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b hreadptr_encode_fns,.0,.0.},...{
43900 20 22 77 75 72 2e 74 68 72 65 61 64 70 74 72 22 2c 20 49 43 4c 41 53 53 5f 77 75 72 5f 74 68 72 ."wur.threadptr",.ICLASS_wur_thr
43920 65 61 64 70 74 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 75 72 5f 74 68 eadptr,.....0,.....Opcode_wur_th
43940 72 65 61 64 70 74 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 readptr_encode_fns,.0,.0.},...{.
43960 22 61 64 64 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 2c 0a 20 "addi",.ICLASS_xt_iclass_addi,..
43980 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 ...0,.....Opcode_addi_encode_fns
439a0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 6d 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 ,.0,.0.},...{."addmi",.ICLASS_xt
439c0 5f 69 63 6c 61 73 73 5f 61 64 64 6d 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 _iclass_addmi,.....0,.....Opcode
439e0 5f 61 64 64 6d 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 _addmi_encode_fns,.0,.0.},...{."
43a00 61 64 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 add",.ICLASS_xt_iclass_addsub,..
43a20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_add_encode_fns,
43a40 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 75 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 .0,.0.},...{."sub",.ICLASS_xt_ic
43a60 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 lass_addsub,.....0,.....Opcode_s
43a80 75 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 78 ub_encode_fns,.0,.0.},...{."addx
43aa0 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 2",.ICLASS_xt_iclass_addsub,....
43ac0 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 78 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c .0,.....Opcode_addx2_encode_fns,
43ae0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 78 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .0,.0.},...{."addx4",.ICLASS_xt_
43b00 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 iclass_addsub,.....0,.....Opcode
43b20 5f 61 64 64 78 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 _addx4_encode_fns,.0,.0.},...{."
43b40 61 64 64 78 38 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c addx8",.ICLASS_xt_iclass_addsub,
43b60 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 78 38 5f 65 6e 63 6f 64 65 5f .....0,.....Opcode_addx8_encode_
43b80 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 75 62 78 32 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."subx2",.ICLASS
43ba0 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _xt_iclass_addsub,.....0,.....Op
43bc0 63 6f 64 65 5f 73 75 62 78 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 code_subx2_encode_fns,.0,.0.},..
43be0 20 7b 20 22 73 75 62 78 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 .{."subx4",.ICLASS_xt_iclass_add
43c00 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 75 62 78 34 5f 65 6e 63 sub,.....0,.....Opcode_subx4_enc
43c20 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 75 62 78 38 22 2c 20 49 43 ode_fns,.0,.0.},...{."subx8",.IC
43c40 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 LASS_xt_iclass_addsub,.....0,...
43c60 20 20 4f 70 63 6f 64 65 5f 73 75 62 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_subx8_encode_fns,.0,.0.
43c80 7d 2c 0a 20 20 7b 20 22 61 6e 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 },...{."and",.ICLASS_xt_iclass_b
43ca0 69 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 6e 64 5f 65 6e 63 6f 64 65 it,.....0,.....Opcode_and_encode
43cc0 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6f 72 22 2c 20 49 43 4c 41 53 53 5f 78 _fns,.0,.0.},...{."or",.ICLASS_x
43ce0 74 5f 69 63 6c 61 73 73 5f 62 69 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f t_iclass_bit,.....0,.....Opcode_
43d00 6f 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 6f 72 22 or_encode_fns,.0,.0.},...{."xor"
43d20 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 69 74 2c 0a 20 20 20 20 30 2c 0a 20 ,.ICLASS_xt_iclass_bit,.....0,..
43d40 20 20 20 4f 70 63 6f 64 65 5f 78 6f 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d ...Opcode_xor_encode_fns,.0,.0.}
43d60 2c 0a 20 20 7b 20 22 62 65 71 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 ,...{."beqi",.ICLASS_xt_iclass_b
43d80 73 69 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 si8,.....XTENSA_OPCODE_IS_BRANCH
43da0 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 65 71 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ,.....Opcode_beqi_encode_fns,.0,
43dc0 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6e 65 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 .0.},...{."bnei",.ICLASS_xt_icla
43de0 73 73 5f 62 73 69 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 ss_bsi8,.....XTENSA_OPCODE_IS_BR
43e00 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6e 65 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 ANCH,.....Opcode_bnei_encode_fns
43e20 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 67 65 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f ,.0,.0.},...{."bgei",.ICLASS_xt_
43e40 69 63 6c 61 73 73 5f 62 73 69 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 iclass_bsi8,.....XTENSA_OPCODE_I
43e60 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 67 65 69 5f 65 6e 63 6f 64 65 S_BRANCH,.....Opcode_bgei_encode
43e80 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6c 74 69 22 2c 20 49 43 4c 41 53 53 _fns,.0,.0.},...{."blti",.ICLASS
43ea0 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f _xt_iclass_bsi8,.....XTENSA_OPCO
43ec0 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6c 74 69 5f 65 6e DE_IS_BRANCH,.....Opcode_blti_en
43ee0 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 63 69 22 2c 20 49 43 code_fns,.0,.0.},...{."bbci",.IC
43f00 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 2c 0a 20 20 20 20 58 54 45 4e 53 41 LASS_xt_iclass_bsi8b,.....XTENSA
43f20 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 62 _OPCODE_IS_BRANCH,.....Opcode_bb
43f40 63 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 73 69 ci_encode_fns,.0,.0.},...{."bbsi
43f60 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 2c 0a 20 20 20 20 58 ",.ICLASS_xt_iclass_bsi8b,.....X
43f80 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f TENSA_OPCODE_IS_BRANCH,.....Opco
43fa0 64 65 5f 62 62 73 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 de_bbsi_encode_fns,.0,.0.},...{.
43fc0 22 62 67 65 75 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 75 2c "bgeui",.ICLASS_xt_iclass_bsi8u,
43fe0 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 .....XTENSA_OPCODE_IS_BRANCH,...
44000 20 20 4f 70 63 6f 64 65 5f 62 67 65 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_bgeui_encode_fns,.0,.0.
44020 7d 2c 0a 20 20 7b 20 22 62 6c 74 75 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 },...{."bltui",.ICLASS_xt_iclass
44040 5f 62 73 69 38 75 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 _bsi8u,.....XTENSA_OPCODE_IS_BRA
44060 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6c 74 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 NCH,.....Opcode_bltui_encode_fns
44080 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 65 71 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ,.0,.0.},...{."beq",.ICLASS_xt_i
440a0 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 class_bst8,.....XTENSA_OPCODE_IS
440c0 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 65 71 5f 65 6e 63 6f 64 65 5f 66 _BRANCH,.....Opcode_beq_encode_f
440e0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6e 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 ns,.0,.0.},...{."bne",.ICLASS_xt
44100 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f _iclass_bst8,.....XTENSA_OPCODE_
44120 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6e 65 5f 65 6e 63 6f 64 65 IS_BRANCH,.....Opcode_bne_encode
44140 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 67 65 22 2c 20 49 43 4c 41 53 53 5f _fns,.0,.0.},...{."bge",.ICLASS_
44160 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 xt_iclass_bst8,.....XTENSA_OPCOD
44180 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 67 65 5f 65 6e 63 6f E_IS_BRANCH,.....Opcode_bge_enco
441a0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6c 74 22 2c 20 49 43 4c 41 53 de_fns,.0,.0.},...{."blt",.ICLAS
441c0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 S_xt_iclass_bst8,.....XTENSA_OPC
441e0 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6c 74 5f 65 6e ODE_IS_BRANCH,.....Opcode_blt_en
44200 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 67 65 75 22 2c 20 49 43 code_fns,.0,.0.},...{."bgeu",.IC
44220 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f LASS_xt_iclass_bst8,.....XTENSA_
44240 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 67 65 OPCODE_IS_BRANCH,.....Opcode_bge
44260 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6c 74 75 22 u_encode_fns,.0,.0.},...{."bltu"
44280 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 ,.ICLASS_xt_iclass_bst8,.....XTE
442a0 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 NSA_OPCODE_IS_BRANCH,.....Opcode
442c0 5f 62 6c 74 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 _bltu_encode_fns,.0,.0.},...{."b
442e0 61 6e 79 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 any",.ICLASS_xt_iclass_bst8,....
44300 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 .XTENSA_OPCODE_IS_BRANCH,.....Op
44320 63 6f 64 65 5f 62 61 6e 79 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 code_bany_encode_fns,.0,.0.},...
44340 7b 20 22 62 6e 6f 6e 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 {."bnone",.ICLASS_xt_iclass_bst8
44360 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 ,.....XTENSA_OPCODE_IS_BRANCH,..
44380 20 20 20 4f 70 63 6f 64 65 5f 62 6e 6f 6e 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ...Opcode_bnone_encode_fns,.0,.0
443a0 20 7d 2c 0a 20 20 7b 20 22 62 61 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 .},...{."ball",.ICLASS_xt_iclass
443c0 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e _bst8,.....XTENSA_OPCODE_IS_BRAN
443e0 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 CH,.....Opcode_ball_encode_fns,.
44400 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6e 61 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 0,.0.},...{."bnall",.ICLASS_xt_i
44420 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 class_bst8,.....XTENSA_OPCODE_IS
44440 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6e 61 6c 6c 5f 65 6e 63 6f 64 65 _BRANCH,.....Opcode_bnall_encode
44460 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 63 22 2c 20 49 43 4c 41 53 53 5f _fns,.0,.0.},...{."bbc",.ICLASS_
44480 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 xt_iclass_bst8,.....XTENSA_OPCOD
444a0 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 62 63 5f 65 6e 63 6f E_IS_BRANCH,.....Opcode_bbc_enco
444c0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 73 22 2c 20 49 43 4c 41 53 de_fns,.0,.0.},...{."bbs",.ICLAS
444e0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 S_xt_iclass_bst8,.....XTENSA_OPC
44500 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 62 73 5f 65 6e ODE_IS_BRANCH,.....Opcode_bbs_en
44520 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 65 71 7a 22 2c 20 49 43 code_fns,.0,.0.},...{."beqz",.IC
44540 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 2c 0a 20 20 20 20 58 54 45 4e 53 41 LASS_xt_iclass_bsz12,.....XTENSA
44560 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 65 _OPCODE_IS_BRANCH,.....Opcode_be
44580 71 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6e 65 7a qz_encode_fns,.0,.0.},...{."bnez
445a0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 2c 0a 20 20 20 20 58 ",.ICLASS_xt_iclass_bsz12,.....X
445c0 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f TENSA_OPCODE_IS_BRANCH,.....Opco
445e0 64 65 5f 62 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 de_bnez_encode_fns,.0,.0.},...{.
44600 22 62 67 65 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 2c 0a "bgez",.ICLASS_xt_iclass_bsz12,.
44620 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 ....XTENSA_OPCODE_IS_BRANCH,....
44640 20 4f 70 63 6f 64 65 5f 62 67 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c .Opcode_bgez_encode_fns,.0,.0.},
44660 0a 20 20 7b 20 22 62 6c 74 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 ...{."bltz",.ICLASS_xt_iclass_bs
44680 7a 31 32 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 z12,.....XTENSA_OPCODE_IS_BRANCH
446a0 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6c 74 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ,.....Opcode_bltz_encode_fns,.0,
446c0 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c .0.},...{."call0",.ICLASS_xt_icl
446e0 61 73 73 5f 63 61 6c 6c 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f ass_call0,.....XTENSA_OPCODE_IS_
44700 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 30 5f 65 6e 63 6f 64 65 5f 66 6e CALL,.....Opcode_call0_encode_fn
44720 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 78 30 22 2c 20 49 43 4c 41 53 53 5f s,.0,.0.},...{."callx0",.ICLASS_
44740 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 xt_iclass_callx0,.....XTENSA_OPC
44760 4f 44 45 5f 49 53 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 30 5f 65 ODE_IS_CALL,.....Opcode_callx0_e
44780 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 65 78 74 75 69 22 2c 20 ncode_fns,.0,.0.},...{."extui",.
447a0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 65 78 74 69 2c 0a 20 20 20 20 30 2c 0a 20 20 ICLASS_xt_iclass_exti,.....0,...
447c0 20 20 4f 70 63 6f 64 65 5f 65 78 74 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_extui_encode_fns,.0,.0.
447e0 7d 2c 0a 20 20 7b 20 22 69 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 },...{."ill",.ICLASS_xt_iclass_i
44800 6c 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 6c 6c 5f 65 6e 63 6f 64 65 ll,.....0,.....Opcode_ill_encode
44820 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6a 22 2c 20 49 43 4c 41 53 53 5f 78 74 _fns,.0,.0.},...{."j",.ICLASS_xt
44840 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f _iclass_jump,.....XTENSA_OPCODE_
44860 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6a 5f 65 6e 63 6f 64 65 5f 66 6e 73 IS_JUMP,.....Opcode_j_encode_fns
44880 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6a 78 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ,.0,.0.},...{."jx",.ICLASS_xt_ic
448a0 6c 61 73 73 5f 6a 75 6d 70 78 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 lass_jumpx,.....XTENSA_OPCODE_IS
448c0 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6a 78 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c _JUMP,.....Opcode_jx_encode_fns,
448e0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 31 36 75 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .0,.0.},...{."l16ui",.ICLASS_xt_
44900 69 63 6c 61 73 73 5f 6c 31 36 75 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f iclass_l16ui,.....0,.....Opcode_
44920 6c 31 36 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c l16ui_encode_fns,.0,.0.},...{."l
44940 31 36 73 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 36 73 69 2c 0a 20 16si",.ICLASS_xt_iclass_l16si,..
44960 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 31 36 73 69 5f 65 6e 63 6f 64 65 5f 66 6e ...0,.....Opcode_l16si_encode_fn
44980 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 33 32 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 s,.0,.0.},...{."l32i",.ICLASS_xt
449a0 5f 69 63 6c 61 73 73 5f 6c 33 32 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f _iclass_l32i,.....0,.....Opcode_
449c0 6c 33 32 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 33 l32i_encode_fns,.0,.0.},...{."l3
449e0 32 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 72 2c 0a 20 20 20 20 2r",.ICLASS_xt_iclass_l32r,.....
44a00 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 33 32 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 0,.....Opcode_l32r_encode_fns,.0
44a20 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 38 75 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ,.0.},...{."l8ui",.ICLASS_xt_icl
44a40 61 73 73 5f 6c 38 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 38 75 69 5f ass_l8i,.....0,.....Opcode_l8ui_
44a60 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 6f 6f 70 22 2c 20 encode_fns,.0,.0.},...{."loop",.
44a80 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 2c 0a 20 20 20 20 58 54 45 4e 53 ICLASS_xt_iclass_loop,.....XTENS
44aa0 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4c 4f 4f 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 6f 6f A_OPCODE_IS_LOOP,.....Opcode_loo
44ac0 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 6f 6f 70 6e p_encode_fns,.0,.0.},...{."loopn
44ae0 65 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 7a 2c 0a 20 20 20 ez",.ICLASS_xt_iclass_loopz,....
44b00 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4c 4f 4f 50 2c 0a 20 20 20 20 4f 70 63 6f .XTENSA_OPCODE_IS_LOOP,.....Opco
44b20 64 65 5f 6c 6f 6f 70 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 de_loopnez_encode_fns,.0,.0.},..
44b40 20 7b 20 22 6c 6f 6f 70 67 74 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c .{."loopgtz",.ICLASS_xt_iclass_l
44b60 6f 6f 70 7a 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4c 4f 4f 50 2c oopz,.....XTENSA_OPCODE_IS_LOOP,
44b80 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 67 74 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 .....Opcode_loopgtz_encode_fns,.
44ba0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 0,.0.},...{."movi",.ICLASS_xt_ic
44bc0 6c 61 73 73 5f 6d 6f 76 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 lass_movi,.....0,.....Opcode_mov
44be0 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 65 71 i_encode_fns,.0,.0.},...{."moveq
44c00 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 20 20 20 30 z",.ICLASS_xt_iclass_movz,.....0
44c20 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 65 71 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ,.....Opcode_moveqz_encode_fns,.
44c40 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 6e 65 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 0,.0.},...{."movnez",.ICLASS_xt_
44c60 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d iclass_movz,.....0,.....Opcode_m
44c80 6f 76 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d ovnez_encode_fns,.0,.0.},...{."m
44ca0 6f 76 6c 74 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 ovltz",.ICLASS_xt_iclass_movz,..
44cc0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 6c 74 7a 5f 65 6e 63 6f 64 65 5f 66 ...0,.....Opcode_movltz_encode_f
44ce0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 67 65 7a 22 2c 20 49 43 4c 41 53 53 ns,.0,.0.},...{."movgez",.ICLASS
44d00 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f _xt_iclass_movz,.....0,.....Opco
44d20 64 65 5f 6d 6f 76 67 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 de_movgez_encode_fns,.0,.0.},...
44d40 7b 20 22 6e 65 67 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 65 67 2c 0a 20 {."neg",.ICLASS_xt_iclass_neg,..
44d60 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6e 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_neg_encode_fns,
44d80 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 62 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 .0,.0.},...{."abs",.ICLASS_xt_ic
44da0 6c 61 73 73 5f 6e 65 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 62 73 5f lass_neg,.....0,.....Opcode_abs_
44dc0 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6e 6f 70 22 2c 20 49 encode_fns,.0,.0.},...{."nop",.I
44de0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 CLASS_xt_iclass_nop,.....0,.....
44e00 4f 70 63 6f 64 65 5f 6e 6f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 Opcode_nop_encode_fns,.0,.0.},..
44e20 20 7b 20 22 72 65 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 75 72 .{."ret",.ICLASS_xt_iclass_retur
44e40 6e 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 n,.....XTENSA_OPCODE_IS_JUMP,...
44e60 20 20 4f 70 63 6f 64 65 5f 72 65 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c ..Opcode_ret_encode_fns,.0,.0.},
44e80 0a 20 20 7b 20 22 73 69 6d 63 61 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ...{."simcall",.ICLASS_xt_iclass
44ea0 5f 73 69 6d 63 61 6c 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 69 6d 63 _simcall,.....0,.....Opcode_simc
44ec0 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 31 36 all_encode_fns,.0,.0.},...{."s16
44ee0 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 31 36 69 2c 0a 20 20 20 20 30 i",.ICLASS_xt_iclass_s16i,.....0
44f00 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 31 36 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ,.....Opcode_s16i_encode_fns,.0,
44f20 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 .0.},...{."s32i",.ICLASS_xt_icla
44f40 73 73 5f 73 33 32 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 33 32 69 5f ss_s32i,.....0,.....Opcode_s32i_
44f60 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 6e 62 22 2c encode_fns,.0,.0.},...{."s32nb",
44f80 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 6e 62 2c 0a 20 20 20 20 30 2c 0a .ICLASS_xt_iclass_s32nb,.....0,.
44fa0 20 20 20 20 4f 70 63 6f 64 65 5f 73 33 32 6e 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ....Opcode_s32nb_encode_fns,.0,.
44fc0 30 20 7d 2c 0a 20 20 7b 20 22 73 38 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 0.},...{."s8i",.ICLASS_xt_iclass
44fe0 5f 73 38 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 38 69 5f 65 6e 63 6f _s8i,.....0,.....Opcode_s8i_enco
45000 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 73 72 22 2c 20 49 43 4c 41 53 de_fns,.0,.0.},...{."ssr",.ICLAS
45020 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f S_xt_iclass_sar,.....0,.....Opco
45040 64 65 5f 73 73 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 de_ssr_encode_fns,.0,.0.},...{."
45060 73 73 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 2c 0a 20 20 20 20 ssl",.ICLASS_xt_iclass_sar,.....
45080 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 73 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 0,.....Opcode_ssl_encode_fns,.0,
450a0 20 30 20 7d 2c 0a 20 20 7b 20 22 73 73 61 38 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c .0.},...{."ssa8l",.ICLASS_xt_icl
450c0 61 73 73 5f 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 73 61 38 6c ass_sar,.....0,.....Opcode_ssa8l
450e0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 73 61 38 62 22 _encode_fns,.0,.0.},...{."ssa8b"
45100 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 ,.ICLASS_xt_iclass_sar,.....0,..
45120 20 20 20 4f 70 63 6f 64 65 5f 73 73 61 38 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ...Opcode_ssa8b_encode_fns,.0,.0
45140 20 7d 2c 0a 20 20 7b 20 22 73 73 61 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 .},...{."ssai",.ICLASS_xt_iclass
45160 5f 73 61 72 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 73 61 69 5f 65 6e _sari,.....0,.....Opcode_ssai_en
45180 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 6c 6c 22 2c 20 49 43 4c code_fns,.0,.0.},...{."sll",.ICL
451a0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 2c 0a 20 20 20 20 30 2c 0a 20 20 20 ASS_xt_iclass_shifts,.....0,....
451c0 20 4f 70 63 6f 64 65 5f 73 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a .Opcode_sll_encode_fns,.0,.0.},.
451e0 20 20 7b 20 22 73 72 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 ..{."src",.ICLASS_xt_iclass_shif
45200 74 73 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 72 63 5f 65 6e 63 6f 64 tst,.....0,.....Opcode_src_encod
45220 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 72 6c 22 2c 20 49 43 4c 41 53 53 e_fns,.0,.0.},...{."srl",.ICLASS
45240 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _xt_iclass_shiftt,.....0,.....Op
45260 63 6f 64 65 5f 73 72 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b code_srl_encode_fns,.0,.0.},...{
45280 20 22 73 72 61 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 74 2c ."sra",.ICLASS_xt_iclass_shiftt,
452a0 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 72 61 5f 65 6e 63 6f 64 65 5f 66 6e .....0,.....Opcode_sra_encode_fn
452c0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 6c 6c 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 s,.0,.0.},...{."slli",.ICLASS_xt
452e0 5f 69 63 6c 61 73 73 5f 73 6c 6c 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f _iclass_slli,.....0,.....Opcode_
45300 73 6c 6c 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 72 slli_encode_fns,.0,.0.},...{."sr
45320 61 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 61 69 2c 0a 20 20 20 20 ai",.ICLASS_xt_iclass_srai,.....
45340 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 72 61 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 0,.....Opcode_srai_encode_fns,.0
45360 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 72 6c 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ,.0.},...{."srli",.ICLASS_xt_icl
45380 61 73 73 5f 73 72 6c 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 72 6c 69 ass_srli,.....0,.....Opcode_srli
453a0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 65 6d 77 22 2c _encode_fns,.0,.0.},...{."memw",
453c0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 65 6d 77 2c 0a 20 20 20 20 30 2c 0a 20 .ICLASS_xt_iclass_memw,.....0,..
453e0 20 20 20 4f 70 63 6f 64 65 5f 6d 65 6d 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ...Opcode_memw_encode_fns,.0,.0.
45400 7d 2c 0a 20 20 7b 20 22 65 78 74 77 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f },...{."extw",.ICLASS_xt_iclass_
45420 65 78 74 77 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 65 78 74 77 5f 65 6e 63 extw,.....0,.....Opcode_extw_enc
45440 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 73 79 6e 63 22 2c 20 49 43 ode_fns,.0,.0.},...{."isync",.IC
45460 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 73 79 6e 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 LASS_xt_iclass_isync,.....0,....
45480 20 4f 70 63 6f 64 65 5f 69 73 79 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d .Opcode_isync_encode_fns,.0,.0.}
454a0 2c 0a 20 20 7b 20 22 72 73 79 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ,...{."rsync",.ICLASS_xt_iclass_
454c0 73 79 6e 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 79 6e 63 5f 65 6e sync,.....0,.....Opcode_rsync_en
454e0 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 65 73 79 6e 63 22 2c 20 49 code_fns,.0,.0.},...{."esync",.I
45500 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 CLASS_xt_iclass_sync,.....0,....
45520 20 4f 70 63 6f 64 65 5f 65 73 79 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d .Opcode_esync_encode_fns,.0,.0.}
45540 2c 0a 20 20 7b 20 22 64 73 79 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ,...{."dsync",.ICLASS_xt_iclass_
45560 73 79 6e 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 73 79 6e 63 5f 65 6e sync,.....0,.....Opcode_dsync_en
45580 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 69 6c 22 2c 20 49 43 code_fns,.0,.0.},...{."rsil",.IC
455a0 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 LASS_xt_iclass_rsil,.....0,.....
455c0 4f 70 63 6f 64 65 5f 72 73 69 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a Opcode_rsil_encode_fns,.0,.0.},.
455e0 20 20 7b 20 22 72 73 72 2e 6c 65 6e 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ..{."rsr.lend",.ICLASS_xt_iclass
45600 5f 72 73 72 5f 6c 65 6e 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 _rsr_lend,.....0,.....Opcode_rsr
45620 5f 6c 65 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 _lend_encode_fns,.0,.0.},...{."w
45640 73 72 2e 6c 65 6e 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c sr.lend",.ICLASS_xt_iclass_wsr_l
45660 65 6e 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 65 6e 64 5f end,.....0,.....Opcode_wsr_lend_
45680 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6c 65 6e encode_fns,.0,.0.},...{."xsr.len
456a0 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 65 6e 64 2c 0a 20 d",.ICLASS_xt_iclass_xsr_lend,..
456c0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 65 6e 64 5f 65 6e 63 6f 64 65 ...0,.....Opcode_xsr_lend_encode
456e0 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6c 63 6f 75 6e 74 22 2c 20 _fns,.0,.0.},...{."rsr.lcount",.
45700 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e 74 2c 0a 20 20 20 ICLASS_xt_iclass_rsr_lcount,....
45720 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 .0,.....Opcode_rsr_lcount_encode
45740 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6c 63 6f 75 6e 74 22 2c 20 _fns,.0,.0.},...{."wsr.lcount",.
45760 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 2c 0a 20 20 20 ICLASS_xt_iclass_wsr_lcount,....
45780 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 .0,.....Opcode_wsr_lcount_encode
457a0 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6c 63 6f 75 6e 74 22 2c 20 _fns,.0,.0.},...{."xsr.lcount",.
457c0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e 74 2c 0a 20 20 20 ICLASS_xt_iclass_xsr_lcount,....
457e0 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 .0,.....Opcode_xsr_lcount_encode
45800 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6c 62 65 67 22 2c 20 49 43 _fns,.0,.0.},...{."rsr.lbeg",.IC
45820 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 65 67 2c 0a 20 20 20 20 30 2c 0a LASS_xt_iclass_rsr_lbeg,.....0,.
45840 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ....Opcode_rsr_lbeg_encode_fns,.
45860 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6c 62 65 67 22 2c 20 49 43 4c 41 53 53 5f 78 0,.0.},...{."wsr.lbeg",.ICLASS_x
45880 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 62 65 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 t_iclass_wsr_lbeg,.....0,.....Op
458a0 63 6f 64 65 5f 77 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d code_wsr_lbeg_encode_fns,.0,.0.}
458c0 2c 0a 20 20 7b 20 22 78 73 72 2e 6c 62 65 67 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ,...{."xsr.lbeg",.ICLASS_xt_icla
458e0 73 73 5f 78 73 72 5f 6c 62 65 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 ss_xsr_lbeg,.....0,.....Opcode_x
45900 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 sr_lbeg_encode_fns,.0,.0.},...{.
45920 22 72 73 72 2e 73 61 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f "rsr.sar",.ICLASS_xt_iclass_rsr_
45940 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 73 61 72 5f 65 sar,.....0,.....Opcode_rsr_sar_e
45960 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 73 61 72 22 ncode_fns,.0,.0.},...{."wsr.sar"
45980 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 61 72 2c 0a 20 20 20 20 ,.ICLASS_xt_iclass_wsr_sar,.....
459a0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 0,.....Opcode_wsr_sar_encode_fns
459c0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 73 61 72 22 2c 20 49 43 4c 41 53 53 5f ,.0,.0.},...{."xsr.sar",.ICLASS_
459e0 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 xt_iclass_xsr_sar,.....0,.....Op
45a00 63 6f 64 65 5f 78 73 72 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c code_xsr_sar_encode_fns,.0,.0.},
45a20 0a 20 20 7b 20 22 72 73 72 2e 6d 65 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ...{."rsr.memctl",.ICLASS_xt_icl
45a40 61 73 73 5f 72 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 ass_rsr_memctl,.....0,.....Opcod
45a60 65 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c e_rsr_memctl_encode_fns,.0,.0.},
45a80 0a 20 20 7b 20 22 77 73 72 2e 6d 65 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ...{."wsr.memctl",.ICLASS_xt_icl
45aa0 61 73 73 5f 77 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 ass_wsr_memctl,.....0,.....Opcod
45ac0 65 5f 77 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c e_wsr_memctl_encode_fns,.0,.0.},
45ae0 0a 20 20 7b 20 22 78 73 72 2e 6d 65 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ...{."xsr.memctl",.ICLASS_xt_icl
45b00 61 73 73 5f 78 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 ass_xsr_memctl,.....0,.....Opcod
45b20 65 5f 78 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c e_xsr_memctl_encode_fns,.0,.0.},
45b40 0a 20 20 7b 20 22 72 73 72 2e 6c 69 74 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ...{."rsr.litbase",.ICLASS_xt_ic
45b60 6c 61 73 73 5f 72 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 lass_rsr_litbase,.....0,.....Opc
45b80 6f 64 65 5f 72 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ode_rsr_litbase_encode_fns,.0,.0
45ba0 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6c 69 74 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 .},...{."wsr.litbase",.ICLASS_xt
45bc0 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 _iclass_wsr_litbase,.....0,.....
45be0 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 Opcode_wsr_litbase_encode_fns,.0
45c00 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6c 69 74 62 61 73 65 22 2c 20 49 43 4c 41 53 53 ,.0.},...{."xsr.litbase",.ICLASS
45c20 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 _xt_iclass_xsr_litbase,.....0,..
45c40 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 ...Opcode_xsr_litbase_encode_fns
45c60 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 63 6f 6e 66 69 67 69 64 30 22 2c 20 49 ,.0,.0.},...{."rsr.configid0",.I
45c80 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 2c 0a 20 CLASS_xt_iclass_rsr_configid0,..
45ca0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 65 ...0,.....Opcode_rsr_configid0_e
45cc0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 6f 6e 66 ncode_fns,.0,.0.},...{."wsr.conf
45ce0 69 67 69 64 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 6f 6e igid0",.ICLASS_xt_iclass_wsr_con
45d00 66 69 67 69 64 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 6f figid0,.....0,.....Opcode_wsr_co
45d20 6e 66 69 67 69 64 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 nfigid0_encode_fns,.0,.0.},...{.
45d40 22 72 73 72 2e 63 6f 6e 66 69 67 69 64 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 "rsr.configid1",.ICLASS_xt_iclas
45d60 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f s_rsr_configid1,.....0,.....Opco
45d80 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 de_rsr_configid1_encode_fns,.0,.
45da0 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 70 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 0.},...{."rsr.ps",.ICLASS_xt_icl
45dc0 61 73 73 5f 72 73 72 5f 70 73 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 ass_rsr_ps,.....0,.....Opcode_rs
45de0 72 5f 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 r_ps_encode_fns,.0,.0.},...{."ws
45e00 72 2e 70 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 2c 0a r.ps",.ICLASS_xt_iclass_wsr_ps,.
45e20 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 70 73 5f 65 6e 63 6f 64 65 5f ....0,.....Opcode_wsr_ps_encode_
45e40 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 70 73 22 2c 20 49 43 4c 41 53 fns,.0,.0.},...{."xsr.ps",.ICLAS
45e60 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 73 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f S_xt_iclass_xsr_ps,.....0,.....O
45e80 70 63 6f 64 65 5f 78 73 72 5f 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c pcode_xsr_ps_encode_fns,.0,.0.},
45ea0 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc1",.ICLASS_xt_iclas
45ec0 73 5f 72 73 72 5f 65 70 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc1,.....0,.....Opcode_rs
45ee0 72 5f 65 70 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc1_encode_fns,.0,.0.},...{."
45f00 77 73 72 2e 65 70 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc1",.ICLASS_xt_iclass_wsr_
45f20 65 70 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 31 epc1,.....0,.....Opcode_wsr_epc1
45f40 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
45f60 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 2c 0a c1",.ICLASS_xt_iclass_xsr_epc1,.
45f80 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 31 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc1_encod
45fa0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 31 e_fns,.0,.0.},...{."rsr.excsave1
45fc0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 ",.ICLASS_xt_iclass_rsr_excsave1
45fe0 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 31 ,.....0,.....Opcode_rsr_excsave1
46000 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
46020 63 73 61 76 65 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave1",.ICLASS_xt_iclass_wsr_ex
46040 63 73 61 76 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave1,.....0,.....Opcode_wsr_ex
46060 63 73 61 76 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave1_encode_fns,.0,.0.},...{."
46080 78 73 72 2e 65 78 63 73 61 76 65 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave1",.ICLASS_xt_iclass_
460a0 78 73 72 5f 65 78 63 73 61 76 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave1,.....0,.....Opcode_
460c0 78 73 72 5f 65 78 63 73 61 76 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave1_encode_fns,.0,.0.},
460e0 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc2",.ICLASS_xt_iclas
46100 73 5f 72 73 72 5f 65 70 63 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc2,.....0,.....Opcode_rs
46120 72 5f 65 70 63 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc2_encode_fns,.0,.0.},...{."
46140 77 73 72 2e 65 70 63 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc2",.ICLASS_xt_iclass_wsr_
46160 65 70 63 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 32 epc2,.....0,.....Opcode_wsr_epc2
46180 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
461a0 63 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 32 2c 0a c2",.ICLASS_xt_iclass_xsr_epc2,.
461c0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 32 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc2_encod
461e0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 32 e_fns,.0,.0.},...{."rsr.excsave2
46200 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 32 ",.ICLASS_xt_iclass_rsr_excsave2
46220 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 32 ,.....0,.....Opcode_rsr_excsave2
46240 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
46260 63 73 61 76 65 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave2",.ICLASS_xt_iclass_wsr_ex
46280 63 73 61 76 65 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave2,.....0,.....Opcode_wsr_ex
462a0 63 73 61 76 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave2_encode_fns,.0,.0.},...{."
462c0 78 73 72 2e 65 78 63 73 61 76 65 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave2",.ICLASS_xt_iclass_
462e0 78 73 72 5f 65 78 63 73 61 76 65 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave2,.....0,.....Opcode_
46300 78 73 72 5f 65 78 63 73 61 76 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave2_encode_fns,.0,.0.},
46320 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc3",.ICLASS_xt_iclas
46340 73 5f 72 73 72 5f 65 70 63 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc3,.....0,.....Opcode_rs
46360 72 5f 65 70 63 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc3_encode_fns,.0,.0.},...{."
46380 77 73 72 2e 65 70 63 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc3",.ICLASS_xt_iclass_wsr_
463a0 65 70 63 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 33 epc3,.....0,.....Opcode_wsr_epc3
463c0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
463e0 63 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 2c 0a c3",.ICLASS_xt_iclass_xsr_epc3,.
46400 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 33 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc3_encod
46420 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 33 e_fns,.0,.0.},...{."rsr.excsave3
46440 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 ",.ICLASS_xt_iclass_rsr_excsave3
46460 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 33 ,.....0,.....Opcode_rsr_excsave3
46480 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
464a0 63 73 61 76 65 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave3",.ICLASS_xt_iclass_wsr_ex
464c0 63 73 61 76 65 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave3,.....0,.....Opcode_wsr_ex
464e0 63 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave3_encode_fns,.0,.0.},...{."
46500 78 73 72 2e 65 78 63 73 61 76 65 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave3",.ICLASS_xt_iclass_
46520 78 73 72 5f 65 78 63 73 61 76 65 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave3,.....0,.....Opcode_
46540 78 73 72 5f 65 78 63 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave3_encode_fns,.0,.0.},
46560 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc4",.ICLASS_xt_iclas
46580 73 5f 72 73 72 5f 65 70 63 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc4,.....0,.....Opcode_rs
465a0 72 5f 65 70 63 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc4_encode_fns,.0,.0.},...{."
465c0 77 73 72 2e 65 70 63 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc4",.ICLASS_xt_iclass_wsr_
465e0 65 70 63 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 34 epc4,.....0,.....Opcode_wsr_epc4
46600 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
46620 63 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 34 2c 0a c4",.ICLASS_xt_iclass_xsr_epc4,.
46640 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 34 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc4_encod
46660 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 34 e_fns,.0,.0.},...{."rsr.excsave4
46680 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 34 ",.ICLASS_xt_iclass_rsr_excsave4
466a0 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 34 ,.....0,.....Opcode_rsr_excsave4
466c0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
466e0 63 73 61 76 65 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave4",.ICLASS_xt_iclass_wsr_ex
46700 63 73 61 76 65 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave4,.....0,.....Opcode_wsr_ex
46720 63 73 61 76 65 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave4_encode_fns,.0,.0.},...{."
46740 78 73 72 2e 65 78 63 73 61 76 65 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave4",.ICLASS_xt_iclass_
46760 78 73 72 5f 65 78 63 73 61 76 65 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave4,.....0,.....Opcode_
46780 78 73 72 5f 65 78 63 73 61 76 65 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave4_encode_fns,.0,.0.},
467a0 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc5",.ICLASS_xt_iclas
467c0 73 5f 72 73 72 5f 65 70 63 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc5,.....0,.....Opcode_rs
467e0 72 5f 65 70 63 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc5_encode_fns,.0,.0.},...{."
46800 77 73 72 2e 65 70 63 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc5",.ICLASS_xt_iclass_wsr_
46820 65 70 63 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 35 epc5,.....0,.....Opcode_wsr_epc5
46840 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
46860 63 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 35 2c 0a c5",.ICLASS_xt_iclass_xsr_epc5,.
46880 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 35 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc5_encod
468a0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 35 e_fns,.0,.0.},...{."rsr.excsave5
468c0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 35 ",.ICLASS_xt_iclass_rsr_excsave5
468e0 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 35 ,.....0,.....Opcode_rsr_excsave5
46900 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
46920 63 73 61 76 65 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave5",.ICLASS_xt_iclass_wsr_ex
46940 63 73 61 76 65 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave5,.....0,.....Opcode_wsr_ex
46960 63 73 61 76 65 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave5_encode_fns,.0,.0.},...{."
46980 78 73 72 2e 65 78 63 73 61 76 65 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave5",.ICLASS_xt_iclass_
469a0 78 73 72 5f 65 78 63 73 61 76 65 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave5,.....0,.....Opcode_
469c0 78 73 72 5f 65 78 63 73 61 76 65 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave5_encode_fns,.0,.0.},
469e0 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc6",.ICLASS_xt_iclas
46a00 73 5f 72 73 72 5f 65 70 63 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc6,.....0,.....Opcode_rs
46a20 72 5f 65 70 63 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc6_encode_fns,.0,.0.},...{."
46a40 77 73 72 2e 65 70 63 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc6",.ICLASS_xt_iclass_wsr_
46a60 65 70 63 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 36 epc6,.....0,.....Opcode_wsr_epc6
46a80 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
46aa0 63 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 2c 0a c6",.ICLASS_xt_iclass_xsr_epc6,.
46ac0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 36 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc6_encod
46ae0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 36 e_fns,.0,.0.},...{."rsr.excsave6
46b00 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 ",.ICLASS_xt_iclass_rsr_excsave6
46b20 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 36 ,.....0,.....Opcode_rsr_excsave6
46b40 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
46b60 63 73 61 76 65 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave6",.ICLASS_xt_iclass_wsr_ex
46b80 63 73 61 76 65 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave6,.....0,.....Opcode_wsr_ex
46ba0 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave6_encode_fns,.0,.0.},...{."
46bc0 78 73 72 2e 65 78 63 73 61 76 65 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave6",.ICLASS_xt_iclass_
46be0 78 73 72 5f 65 78 63 73 61 76 65 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave6,.....0,.....Opcode_
46c00 78 73 72 5f 65 78 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave6_encode_fns,.0,.0.},
46c20 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc7",.ICLASS_xt_iclas
46c40 73 5f 72 73 72 5f 65 70 63 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc7,.....0,.....Opcode_rs
46c60 72 5f 65 70 63 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc7_encode_fns,.0,.0.},...{."
46c80 77 73 72 2e 65 70 63 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc7",.ICLASS_xt_iclass_wsr_
46ca0 65 70 63 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 37 epc7,.....0,.....Opcode_wsr_epc7
46cc0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
46ce0 63 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 2c 0a c7",.ICLASS_xt_iclass_xsr_epc7,.
46d00 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 37 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc7_encod
46d20 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 37 e_fns,.0,.0.},...{."rsr.excsave7
46d40 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 37 ",.ICLASS_xt_iclass_rsr_excsave7
46d60 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 37 ,.....0,.....Opcode_rsr_excsave7
46d80 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
46da0 63 73 61 76 65 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave7",.ICLASS_xt_iclass_wsr_ex
46dc0 63 73 61 76 65 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave7,.....0,.....Opcode_wsr_ex
46de0 63 73 61 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave7_encode_fns,.0,.0.},...{."
46e00 78 73 72 2e 65 78 63 73 61 76 65 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave7",.ICLASS_xt_iclass_
46e20 78 73 72 5f 65 78 63 73 61 76 65 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave7,.....0,.....Opcode_
46e40 78 73 72 5f 65 78 63 73 61 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave7_encode_fns,.0,.0.},
46e60 0a 20 20 7b 20 22 72 73 72 2e 65 70 73 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.eps2",.ICLASS_xt_iclas
46e80 73 5f 72 73 72 5f 65 70 73 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_eps2,.....0,.....Opcode_rs
46ea0 72 5f 65 70 73 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_eps2_encode_fns,.0,.0.},...{."
46ec0 77 73 72 2e 65 70 73 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.eps2",.ICLASS_xt_iclass_wsr_
46ee0 65 70 73 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 32 eps2,.....0,.....Opcode_wsr_eps2
46f00 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
46f20 73 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 32 2c 0a s2",.ICLASS_xt_iclass_xsr_eps2,.
46f40 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 32 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_eps2_encod
46f60 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 70 73 33 22 2c 20 49 e_fns,.0,.0.},...{."rsr.eps3",.I
46f80 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 33 2c 0a 20 20 20 20 30 2c CLASS_xt_iclass_rsr_eps3,.....0,
46fa0 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c .....Opcode_rsr_eps3_encode_fns,
46fc0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 70 73 33 22 2c 20 49 43 4c 41 53 53 5f .0,.0.},...{."wsr.eps3",.ICLASS_
46fe0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f xt_iclass_wsr_eps3,.....0,.....O
47000 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 pcode_wsr_eps3_encode_fns,.0,.0.
47020 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 73 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c },...{."xsr.eps3",.ICLASS_xt_icl
47040 61 73 73 5f 78 73 72 5f 65 70 73 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f ass_xsr_eps3,.....0,.....Opcode_
47060 78 73 72 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b xsr_eps3_encode_fns,.0,.0.},...{
47080 20 22 72 73 72 2e 65 70 73 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 ."rsr.eps4",.ICLASS_xt_iclass_rs
470a0 72 5f 65 70 73 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 r_eps4,.....0,.....Opcode_rsr_ep
470c0 73 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e s4_encode_fns,.0,.0.},...{."wsr.
470e0 65 70 73 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 34 eps4",.ICLASS_xt_iclass_wsr_eps4
47100 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 34 5f 65 6e 63 ,.....0,.....Opcode_wsr_eps4_enc
47120 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 73 34 22 2c ode_fns,.0,.0.},...{."xsr.eps4",
47140 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 2c 0a 20 20 20 20 .ICLASS_xt_iclass_xsr_eps4,.....
47160 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 34 5f 65 6e 63 6f 64 65 5f 66 6e 0,.....Opcode_xsr_eps4_encode_fn
47180 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 70 73 35 22 2c 20 49 43 4c 41 53 s,.0,.0.},...{."rsr.eps5",.ICLAS
471a0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 S_xt_iclass_rsr_eps5,.....0,....
471c0 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 .Opcode_rsr_eps5_encode_fns,.0,.
471e0 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 70 73 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 0.},...{."wsr.eps5",.ICLASS_xt_i
47200 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 class_wsr_eps5,.....0,.....Opcod
47220 65 5f 77 73 72 5f 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 e_wsr_eps5_encode_fns,.0,.0.},..
47240 20 7b 20 22 78 73 72 2e 65 70 73 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f .{."xsr.eps5",.ICLASS_xt_iclass_
47260 78 73 72 5f 65 70 73 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f xsr_eps5,.....0,.....Opcode_xsr_
47280 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 eps5_encode_fns,.0,.0.},...{."rs
472a0 72 2e 65 70 73 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 r.eps6",.ICLASS_xt_iclass_rsr_ep
472c0 73 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 36 5f 65 s6,.....0,.....Opcode_rsr_eps6_e
472e0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 70 73 36 ncode_fns,.0,.0.},...{."wsr.eps6
47300 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 2c 0a 20 20 ",.ICLASS_xt_iclass_wsr_eps6,...
47320 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 36 5f 65 6e 63 6f 64 65 5f ..0,.....Opcode_wsr_eps6_encode_
47340 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 73 36 22 2c 20 49 43 4c fns,.0,.0.},...{."xsr.eps6",.ICL
47360 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 2c 0a 20 20 20 20 30 2c 0a 20 ASS_xt_iclass_xsr_eps6,.....0,..
47380 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 ...Opcode_xsr_eps6_encode_fns,.0
473a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 70 73 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 ,.0.},...{."rsr.eps7",.ICLASS_xt
473c0 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _iclass_rsr_eps7,.....0,.....Opc
473e0 6f 64 65 5f 72 73 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c ode_rsr_eps7_encode_fns,.0,.0.},
47400 0a 20 20 7b 20 22 77 73 72 2e 65 70 73 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."wsr.eps7",.ICLASS_xt_iclas
47420 73 5f 77 73 72 5f 65 70 73 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 s_wsr_eps7,.....0,.....Opcode_ws
47440 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_eps7_encode_fns,.0,.0.},...{."
47460 78 73 72 2e 65 70 73 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f xsr.eps7",.ICLASS_xt_iclass_xsr_
47480 65 70 73 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 37 eps7,.....0,.....Opcode_xsr_eps7
474a0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 _encode_fns,.0,.0.},...{."rsr.ex
474c0 63 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 cvaddr",.ICLASS_xt_iclass_rsr_ex
474e0 63 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 cvaddr,.....0,.....Opcode_rsr_ex
47500 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 cvaddr_encode_fns,.0,.0.},...{."
47520 77 73 72 2e 65 78 63 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f wsr.excvaddr",.ICLASS_xt_iclass_
47540 77 73 72 5f 65 78 63 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f wsr_excvaddr,.....0,.....Opcode_
47560 77 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c wsr_excvaddr_encode_fns,.0,.0.},
47580 0a 20 20 7b 20 22 78 73 72 2e 65 78 63 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ...{."xsr.excvaddr",.ICLASS_xt_i
475a0 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f class_xsr_excvaddr,.....0,.....O
475c0 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 pcode_xsr_excvaddr_encode_fns,.0
475e0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 65 70 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 ,.0.},...{."rsr.depc",.ICLASS_xt
47600 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 65 70 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _iclass_rsr_depc,.....0,.....Opc
47620 6f 64 65 5f 72 73 72 5f 64 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c ode_rsr_depc_encode_fns,.0,.0.},
47640 0a 20 20 7b 20 22 77 73 72 2e 64 65 70 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."wsr.depc",.ICLASS_xt_iclas
47660 73 5f 77 73 72 5f 64 65 70 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 s_wsr_depc,.....0,.....Opcode_ws
47680 72 5f 64 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_depc_encode_fns,.0,.0.},...{."
476a0 78 73 72 2e 64 65 70 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f xsr.depc",.ICLASS_xt_iclass_xsr_
476c0 64 65 70 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 70 63 depc,.....0,.....Opcode_xsr_depc
476e0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 _encode_fns,.0,.0.},...{."rsr.ex
47700 63 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 ccause",.ICLASS_xt_iclass_rsr_ex
47720 63 63 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 ccause,.....0,.....Opcode_rsr_ex
47740 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 ccause_encode_fns,.0,.0.},...{."
47760 77 73 72 2e 65 78 63 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f wsr.exccause",.ICLASS_xt_iclass_
47780 77 73 72 5f 65 78 63 63 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f wsr_exccause,.....0,.....Opcode_
477a0 77 73 72 5f 65 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c wsr_exccause_encode_fns,.0,.0.},
477c0 0a 20 20 7b 20 22 78 73 72 2e 65 78 63 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ...{."xsr.exccause",.ICLASS_xt_i
477e0 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 63 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f class_xsr_exccause,.....0,.....O
47800 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 pcode_xsr_exccause_encode_fns,.0
47820 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d 69 73 63 30 22 2c 20 49 43 4c 41 53 53 5f 78 ,.0.},...{."rsr.misc0",.ICLASS_x
47840 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t_iclass_rsr_misc0,.....0,.....O
47860 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 pcode_rsr_misc0_encode_fns,.0,.0
47880 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 69 73 63 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .},...{."wsr.misc0",.ICLASS_xt_i
478a0 63 6c 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f class_wsr_misc0,.....0,.....Opco
478c0 64 65 5f 77 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c de_wsr_misc0_encode_fns,.0,.0.},
478e0 0a 20 20 7b 20 22 78 73 72 2e 6d 69 73 63 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ...{."xsr.misc0",.ICLASS_xt_icla
47900 73 73 5f 78 73 72 5f 6d 69 73 63 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f ss_xsr_misc0,.....0,.....Opcode_
47920 78 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 xsr_misc0_encode_fns,.0,.0.},...
47940 7b 20 22 72 73 72 2e 6d 69 73 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f {."rsr.misc1",.ICLASS_xt_iclass_
47960 72 73 72 5f 6d 69 73 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 rsr_misc1,.....0,.....Opcode_rsr
47980 5f 6d 69 73 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 _misc1_encode_fns,.0,.0.},...{."
479a0 77 73 72 2e 6d 69 73 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 wsr.misc1",.ICLASS_xt_iclass_wsr
479c0 5f 6d 69 73 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 69 _misc1,.....0,.....Opcode_wsr_mi
479e0 73 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 sc1_encode_fns,.0,.0.},...{."xsr
47a00 2e 6d 69 73 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 .misc1",.ICLASS_xt_iclass_xsr_mi
47a20 73 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 69 73 63 31 sc1,.....0,.....Opcode_xsr_misc1
47a40 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 70 72 _encode_fns,.0,.0.},...{."rsr.pr
47a60 69 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 72 69 64 2c 0a id",.ICLASS_xt_iclass_rsr_prid,.
47a80 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 70 72 69 64 5f 65 6e 63 6f 64 ....0,.....Opcode_rsr_prid_encod
47aa0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 76 65 63 62 61 73 65 22 e_fns,.0,.0.},...{."rsr.vecbase"
47ac0 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 76 65 63 62 61 73 65 2c 0a ,.ICLASS_xt_iclass_rsr_vecbase,.
47ae0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 65 6e ....0,.....Opcode_rsr_vecbase_en
47b00 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 76 65 63 62 61 code_fns,.0,.0.},...{."wsr.vecba
47b20 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 se",.ICLASS_xt_iclass_wsr_vecbas
47b40 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 76 65 63 62 61 73 65 e,.....0,.....Opcode_wsr_vecbase
47b60 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 76 65 _encode_fns,.0,.0.},...{."xsr.ve
47b80 63 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 cbase",.ICLASS_xt_iclass_xsr_vec
47ba0 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 76 65 63 62 base,.....0,.....Opcode_xsr_vecb
47bc0 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c ase_encode_fns,.0,.0.},...{."mul
47be0 31 36 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 6d 75 6c 31 36 2c 0a 20 20 20 20 30 2c 0a 20 20 16u",.ICLASS_xt_mul16,.....0,...
47c00 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ..Opcode_mul16u_encode_fns,.0,.0
47c20 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 31 36 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 6d 75 6c 31 .},...{."mul16s",.ICLASS_xt_mul1
47c40 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 73 5f 65 6e 63 6f 6,.....0,.....Opcode_mul16s_enco
47c60 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 6c 22 2c 20 49 43 4c 41 de_fns,.0,.0.},...{."mull",.ICLA
47c80 53 53 5f 78 74 5f 6d 75 6c 33 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d SS_xt_mul32,.....0,.....Opcode_m
47ca0 75 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c ull_encode_fns,.0,.0.},...{."mul
47cc0 2e 61 61 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f .aa.ll",.ICLASS_xt_iclass_mac16_
47ce0 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 6c 5f aa,.....0,.....Opcode_mul_aa_ll_
47d00 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 61 61 2e encode_fns,.0,.0.},...{."mul.aa.
47d20 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a hl",.ICLASS_xt_iclass_mac16_aa,.
47d40 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 6c 5f 65 6e 63 6f ....0,.....Opcode_mul_aa_hl_enco
47d60 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 61 61 2e 6c 68 22 2c de_fns,.0,.0.},...{."mul.aa.lh",
47d80 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 .ICLASS_xt_iclass_mac16_aa,.....
47da0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 0,.....Opcode_mul_aa_lh_encode_f
47dc0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 61 61 2e 68 68 22 2c 20 49 43 4c ns,.0,.0.},...{."mul.aa.hh",.ICL
47de0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 ASS_xt_iclass_mac16_aa,.....0,..
47e00 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ...Opcode_mul_aa_hh_encode_fns,.
47e20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 6d 75 6c 2e 61 61 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 0,.0.},...{."umul.aa.ll",.ICLASS
47e40 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 _xt_iclass_mac16_aa,.....0,.....
47e60 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c Opcode_umul_aa_ll_encode_fns,.0,
47e80 20 30 20 7d 2c 0a 20 20 7b 20 22 75 6d 75 6c 2e 61 61 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 .0.},...{."umul.aa.hl",.ICLASS_x
47ea0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 t_iclass_mac16_aa,.....0,.....Op
47ec0 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 code_umul_aa_hl_encode_fns,.0,.0
47ee0 20 7d 2c 0a 20 20 7b 20 22 75 6d 75 6c 2e 61 61 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .},...{."umul.aa.lh",.ICLASS_xt_
47f00 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f iclass_mac16_aa,.....0,.....Opco
47f20 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d de_umul_aa_lh_encode_fns,.0,.0.}
47f40 2c 0a 20 20 7b 20 22 75 6d 75 6c 2e 61 61 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ,...{."umul.aa.hh",.ICLASS_xt_ic
47f60 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 lass_mac16_aa,.....0,.....Opcode
47f80 5f 75 6d 75 6c 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a _umul_aa_hh_encode_fns,.0,.0.},.
47fa0 20 20 7b 20 22 6d 75 6c 2e 61 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ..{."mul.ad.ll",.ICLASS_xt_iclas
47fc0 73 5f 6d 61 63 31 36 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 s_mac16_ad,.....0,.....Opcode_mu
47fe0 6c 5f 61 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 l_ad_ll_encode_fns,.0,.0.},...{.
48000 22 6d 75 6c 2e 61 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 "mul.ad.hl",.ICLASS_xt_iclass_ma
48020 63 31 36 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 c16_ad,.....0,.....Opcode_mul_ad
48040 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c _hl_encode_fns,.0,.0.},...{."mul
48060 2e 61 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f .ad.lh",.ICLASS_xt_iclass_mac16_
48080 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 6c 68 5f ad,.....0,.....Opcode_mul_ad_lh_
480a0 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 61 64 2e encode_fns,.0,.0.},...{."mul.ad.
480c0 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 64 2c 0a hh",.ICLASS_xt_iclass_mac16_ad,.
480e0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 68 5f 65 6e 63 6f ....0,.....Opcode_mul_ad_hh_enco
48100 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 61 2e 6c 6c 22 2c de_fns,.0,.0.},...{."mul.da.ll",
48120 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 20 20 .ICLASS_xt_iclass_mac16_da,.....
48140 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 0,.....Opcode_mul_da_ll_encode_f
48160 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 61 2e 68 6c 22 2c 20 49 43 4c ns,.0,.0.},...{."mul.da.hl",.ICL
48180 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 ASS_xt_iclass_mac16_da,.....0,..
481a0 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ...Opcode_mul_da_hl_encode_fns,.
481c0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 61 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 0,.0.},...{."mul.da.lh",.ICLASS_
481e0 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f xt_iclass_mac16_da,.....0,.....O
48200 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 pcode_mul_da_lh_encode_fns,.0,.0
48220 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 61 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .},...{."mul.da.hh",.ICLASS_xt_i
48240 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 class_mac16_da,.....0,.....Opcod
48260 65 5f 6d 75 6c 5f 64 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a e_mul_da_hh_encode_fns,.0,.0.},.
48280 20 20 7b 20 22 6d 75 6c 2e 64 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ..{."mul.dd.ll",.ICLASS_xt_iclas
482a0 73 5f 6d 61 63 31 36 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 s_mac16_dd,.....0,.....Opcode_mu
482c0 6c 5f 64 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 l_dd_ll_encode_fns,.0,.0.},...{.
482e0 22 6d 75 6c 2e 64 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 "mul.dd.hl",.ICLASS_xt_iclass_ma
48300 63 31 36 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 c16_dd,.....0,.....Opcode_mul_dd
48320 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c _hl_encode_fns,.0,.0.},...{."mul
48340 2e 64 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f .dd.lh",.ICLASS_xt_iclass_mac16_
48360 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 6c 68 5f dd,.....0,.....Opcode_mul_dd_lh_
48380 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 64 2e encode_fns,.0,.0.},...{."mul.dd.
483a0 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 64 2c 0a hh",.ICLASS_xt_iclass_mac16_dd,.
483c0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 68 5f 65 6e 63 6f ....0,.....Opcode_mul_dd_hh_enco
483e0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 61 2e 6c 6c 22 de_fns,.0,.0.},...{."mula.aa.ll"
48400 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 ,.ICLASS_xt_iclass_mac16a_aa,...
48420 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 6c 5f 65 6e 63 6f 64 ..0,.....Opcode_mula_aa_ll_encod
48440 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 61 2e 68 6c 22 2c e_fns,.0,.0.},...{."mula.aa.hl",
48460 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 .ICLASS_xt_iclass_mac16a_aa,....
48480 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 .0,.....Opcode_mula_aa_hl_encode
484a0 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 61 2e 6c 68 22 2c 20 _fns,.0,.0.},...{."mula.aa.lh",.
484c0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 ICLASS_xt_iclass_mac16a_aa,.....
484e0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 0,.....Opcode_mula_aa_lh_encode_
48500 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 61 2e 68 68 22 2c 20 49 fns,.0,.0.},...{."mula.aa.hh",.I
48520 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 CLASS_xt_iclass_mac16a_aa,.....0
48540 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 ,.....Opcode_mula_aa_hh_encode_f
48560 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 61 2e 6c 6c 22 2c 20 49 43 ns,.0,.0.},...{."muls.aa.ll",.IC
48580 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 2c LASS_xt_iclass_mac16a_aa,.....0,
485a0 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e .....Opcode_muls_aa_ll_encode_fn
485c0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 61 2e 68 6c 22 2c 20 49 43 4c s,.0,.0.},...{."muls.aa.hl",.ICL
485e0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 2c 0a ASS_xt_iclass_mac16a_aa,.....0,.
48600 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 ....Opcode_muls_aa_hl_encode_fns
48620 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 61 2e 6c 68 22 2c 20 49 43 4c 41 ,.0,.0.},...{."muls.aa.lh",.ICLA
48640 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 SS_xt_iclass_mac16a_aa,.....0,..
48660 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...Opcode_muls_aa_lh_encode_fns,
48680 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 61 2e 68 68 22 2c 20 49 43 4c 41 53 .0,.0.},...{."muls.aa.hh",.ICLAS
486a0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 S_xt_iclass_mac16a_aa,.....0,...
486c0 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ..Opcode_muls_aa_hh_encode_fns,.
486e0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 0,.0.},...{."mula.ad.ll",.ICLASS
48700 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 _xt_iclass_mac16a_ad,.....0,....
48720 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 .Opcode_mula_ad_ll_encode_fns,.0
48740 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f ,.0.},...{."mula.ad.hl",.ICLASS_
48760 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 xt_iclass_mac16a_ad,.....0,.....
48780 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c Opcode_mula_ad_hl_encode_fns,.0,
487a0 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 .0.},...{."mula.ad.lh",.ICLASS_x
487c0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t_iclass_mac16a_ad,.....0,.....O
487e0 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 pcode_mula_ad_lh_encode_fns,.0,.
48800 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 64 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 0.},...{."mula.ad.hh",.ICLASS_xt
48820 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _iclass_mac16a_ad,.....0,.....Op
48840 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 code_mula_ad_hh_encode_fns,.0,.0
48860 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .},...{."muls.ad.ll",.ICLASS_xt_
48880 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 iclass_mac16a_ad,.....0,.....Opc
488a0 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ode_muls_ad_ll_encode_fns,.0,.0.
488c0 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 },...{."muls.ad.hl",.ICLASS_xt_i
488e0 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f class_mac16a_ad,.....0,.....Opco
48900 64 65 5f 6d 75 6c 73 5f 61 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d de_muls_ad_hl_encode_fns,.0,.0.}
48920 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ,...{."muls.ad.lh",.ICLASS_xt_ic
48940 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 lass_mac16a_ad,.....0,.....Opcod
48960 65 5f 6d 75 6c 73 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c e_muls_ad_lh_encode_fns,.0,.0.},
48980 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 64 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ...{."muls.ad.hh",.ICLASS_xt_icl
489a0 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 ass_mac16a_ad,.....0,.....Opcode
489c0 5f 6d 75 6c 73 5f 61 64 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a _muls_ad_hh_encode_fns,.0,.0.},.
489e0 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ..{."mula.da.ll",.ICLASS_xt_icla
48a00 73 73 5f 6d 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f ss_mac16a_da,.....0,.....Opcode_
48a20 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 mula_da_ll_encode_fns,.0,.0.},..
48a40 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 .{."mula.da.hl",.ICLASS_xt_iclas
48a60 73 5f 6d 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d s_mac16a_da,.....0,.....Opcode_m
48a80 75 6c 61 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 ula_da_hl_encode_fns,.0,.0.},...
48aa0 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 {."mula.da.lh",.ICLASS_xt_iclass
48ac0 5f 6d 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 _mac16a_da,.....0,.....Opcode_mu
48ae0 6c 61 5f 64 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b la_da_lh_encode_fns,.0,.0.},...{
48b00 20 22 6d 75 6c 61 2e 64 61 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ."mula.da.hh",.ICLASS_xt_iclass_
48b20 6d 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c mac16a_da,.....0,.....Opcode_mul
48b40 61 5f 64 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 a_da_hh_encode_fns,.0,.0.},...{.
48b60 22 6d 75 6c 73 2e 64 61 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d "muls.da.ll",.ICLASS_xt_iclass_m
48b80 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 ac16a_da,.....0,.....Opcode_muls
48ba0 5f 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 _da_ll_encode_fns,.0,.0.},...{."
48bc0 6d 75 6c 73 2e 64 61 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 muls.da.hl",.ICLASS_xt_iclass_ma
48be0 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f c16a_da,.....0,.....Opcode_muls_
48c00 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d da_hl_encode_fns,.0,.0.},...{."m
48c20 75 6c 73 2e 64 61 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 uls.da.lh",.ICLASS_xt_iclass_mac
48c40 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 16a_da,.....0,.....Opcode_muls_d
48c60 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 a_lh_encode_fns,.0,.0.},...{."mu
48c80 6c 73 2e 64 61 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 ls.da.hh",.ICLASS_xt_iclass_mac1
48ca0 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 6a_da,.....0,.....Opcode_muls_da
48cc0 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c _hh_encode_fns,.0,.0.},...{."mul
48ce0 61 2e 64 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 a.dd.ll",.ICLASS_xt_iclass_mac16
48d00 61 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f a_dd,.....0,.....Opcode_mula_dd_
48d20 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 ll_encode_fns,.0,.0.},...{."mula
48d40 2e 64 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 .dd.hl",.ICLASS_xt_iclass_mac16a
48d60 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 _dd,.....0,.....Opcode_mula_dd_h
48d80 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e l_encode_fns,.0,.0.},...{."mula.
48da0 64 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f dd.lh",.ICLASS_xt_iclass_mac16a_
48dc0 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 dd,.....0,.....Opcode_mula_dd_lh
48de0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 _encode_fns,.0,.0.},...{."mula.d
48e00 64 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 d.hh",.ICLASS_xt_iclass_mac16a_d
48e20 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f d,.....0,.....Opcode_mula_dd_hh_
48e40 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 64 64 encode_fns,.0,.0.},...{."muls.dd
48e60 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 .ll",.ICLASS_xt_iclass_mac16a_dd
48e80 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 6c 5f 65 ,.....0,.....Opcode_muls_dd_ll_e
48ea0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 64 64 2e ncode_fns,.0,.0.},...{."muls.dd.
48ec0 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 2c hl",.ICLASS_xt_iclass_mac16a_dd,
48ee0 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 6c 5f 65 6e .....0,.....Opcode_muls_dd_hl_en
48f00 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 64 64 2e 6c code_fns,.0,.0.},...{."muls.dd.l
48f20 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 2c 0a h",.ICLASS_xt_iclass_mac16a_dd,.
48f40 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 68 5f 65 6e 63 ....0,.....Opcode_muls_dd_lh_enc
48f60 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 64 64 2e 68 68 ode_fns,.0,.0.},...{."muls.dd.hh
48f80 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 2c 0a 20 ",.ICLASS_xt_iclass_mac16a_dd,..
48fa0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 68 5f 65 6e 63 6f ...0,.....Opcode_muls_dd_hh_enco
48fc0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 6c 2e de_fns,.0,.0.},...{."mula.da.ll.
48fe0 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c lddec",.ICLASS_xt_iclass_mac16al
49000 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c _da,.....0,.....Opcode_mula_da_l
49020 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 l_lddec_encode_fns,.0,.0.},...{.
49040 22 6d 75 6c 61 2e 64 61 2e 6c 6c 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 "mula.da.ll.ldinc",.ICLASS_xt_ic
49060 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f lass_mac16al_da,.....0,.....Opco
49080 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 de_mula_da_ll_ldinc_encode_fns,.
490a0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 68 6c 2e 6c 64 64 65 63 22 2c 20 0,.0.},...{."mula.da.hl.lddec",.
490c0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 ICLASS_xt_iclass_mac16al_da,....
490e0 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 64 65 63 5f .0,.....Opcode_mula_da_hl_lddec_
49100 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 encode_fns,.0,.0.},...{."mula.da
49120 2e 68 6c 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 .hl.ldinc",.ICLASS_xt_iclass_mac
49140 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 16al_da,.....0,.....Opcode_mula_
49160 64 61 5f 68 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a da_hl_ldinc_encode_fns,.0,.0.},.
49180 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 68 2e 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 ..{."mula.da.lh.lddec",.ICLASS_x
491a0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 t_iclass_mac16al_da,.....0,.....
491c0 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 Opcode_mula_da_lh_lddec_encode_f
491e0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 68 2e 6c 64 69 6e ns,.0,.0.},...{."mula.da.lh.ldin
49200 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c c",.ICLASS_xt_iclass_mac16al_da,
49220 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 .....0,.....Opcode_mula_da_lh_ld
49240 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c inc_encode_fns,.0,.0.},...{."mul
49260 61 2e 64 61 2e 68 68 2e 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 a.da.hh.lddec",.ICLASS_xt_iclass
49280 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d _mac16al_da,.....0,.....Opcode_m
492a0 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ula_da_hh_lddec_encode_fns,.0,.0
492c0 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 68 68 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 .},...{."mula.da.hh.ldinc",.ICLA
492e0 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a SS_xt_iclass_mac16al_da,.....0,.
49300 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f ....Opcode_mula_da_hh_ldinc_enco
49320 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 6c 6c 2e de_fns,.0,.0.},...{."mula.dd.ll.
49340 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c lddec",.ICLASS_xt_iclass_mac16al
49360 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c _dd,.....0,.....Opcode_mula_dd_l
49380 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 l_lddec_encode_fns,.0,.0.},...{.
493a0 22 6d 75 6c 61 2e 64 64 2e 6c 6c 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 "mula.dd.ll.ldinc",.ICLASS_xt_ic
493c0 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f lass_mac16al_dd,.....0,.....Opco
493e0 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 de_mula_dd_ll_ldinc_encode_fns,.
49400 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 68 6c 2e 6c 64 64 65 63 22 2c 20 0,.0.},...{."mula.dd.hl.lddec",.
49420 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 ICLASS_xt_iclass_mac16al_dd,....
49440 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 64 65 63 5f .0,.....Opcode_mula_dd_hl_lddec_
49460 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 encode_fns,.0,.0.},...{."mula.dd
49480 2e 68 6c 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 .hl.ldinc",.ICLASS_xt_iclass_mac
494a0 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 16al_dd,.....0,.....Opcode_mula_
494c0 64 64 5f 68 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a dd_hl_ldinc_encode_fns,.0,.0.},.
494e0 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 6c 68 2e 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 ..{."mula.dd.lh.lddec",.ICLASS_x
49500 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 t_iclass_mac16al_dd,.....0,.....
49520 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 Opcode_mula_dd_lh_lddec_encode_f
49540 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 6c 68 2e 6c 64 69 6e ns,.0,.0.},...{."mula.dd.lh.ldin
49560 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c c",.ICLASS_xt_iclass_mac16al_dd,
49580 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 .....0,.....Opcode_mula_dd_lh_ld
495a0 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c inc_encode_fns,.0,.0.},...{."mul
495c0 61 2e 64 64 2e 68 68 2e 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 a.dd.hh.lddec",.ICLASS_xt_iclass
495e0 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d _mac16al_dd,.....0,.....Opcode_m
49600 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ula_dd_hh_lddec_encode_fns,.0,.0
49620 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 68 68 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 .},...{."mula.dd.hh.ldinc",.ICLA
49640 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a SS_xt_iclass_mac16al_dd,.....0,.
49660 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f ....Opcode_mula_dd_hh_ldinc_enco
49680 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 64 64 65 63 22 2c 20 49 43 4c de_fns,.0,.0.},...{."lddec",.ICL
496a0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 ASS_xt_iclass_mac16_l,.....0,...
496c0 20 20 4f 70 63 6f 64 65 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_lddec_encode_fns,.0,.0.
496e0 7d 2c 0a 20 20 7b 20 22 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 },...{."ldinc",.ICLASS_xt_iclass
49700 5f 6d 61 63 31 36 5f 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 64 69 6e _mac16_l,.....0,.....Opcode_ldin
49720 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d c_encode_fns,.0,.0.},...{."rsr.m
49740 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 30 2c 0a 20 20 20 0",.ICLASS_xt_iclass_rsr_m0,....
49760 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 .0,.....Opcode_rsr_m0_encode_fns
49780 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 30 22 2c 20 49 43 4c 41 53 53 5f 78 ,.0,.0.},...{."wsr.m0",.ICLASS_x
497a0 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f t_iclass_wsr_m0,.....0,.....Opco
497c0 64 65 5f 77 73 72 5f 6d 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 de_wsr_m0_encode_fns,.0,.0.},...
497e0 7b 20 22 78 73 72 2e 6d 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 {."xsr.m0",.ICLASS_xt_iclass_xsr
49800 5f 6d 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 30 5f 65 6e _m0,.....0,.....Opcode_xsr_m0_en
49820 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d 31 22 2c 20 code_fns,.0,.0.},...{."rsr.m1",.
49840 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 31 2c 0a 20 20 20 20 30 2c 0a ICLASS_xt_iclass_rsr_m1,.....0,.
49860 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ....Opcode_rsr_m1_encode_fns,.0,
49880 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 .0.},...{."wsr.m1",.ICLASS_xt_ic
498a0 6c 61 73 73 5f 77 73 72 5f 6d 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 lass_wsr_m1,.....0,.....Opcode_w
498c0 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 sr_m1_encode_fns,.0,.0.},...{."x
498e0 73 72 2e 6d 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 31 2c sr.m1",.ICLASS_xt_iclass_xsr_m1,
49900 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 .....0,.....Opcode_xsr_m1_encode
49920 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d 32 22 2c 20 49 43 4c 41 _fns,.0,.0.},...{."rsr.m2",.ICLA
49940 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 SS_xt_iclass_rsr_m2,.....0,.....
49960 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d Opcode_rsr_m2_encode_fns,.0,.0.}
49980 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ,...{."wsr.m2",.ICLASS_xt_iclass
499a0 5f 77 73 72 5f 6d 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d _wsr_m2,.....0,.....Opcode_wsr_m
499c0 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6d 2_encode_fns,.0,.0.},...{."xsr.m
499e0 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 32 2c 0a 20 20 20 2",.ICLASS_xt_iclass_xsr_m2,....
49a00 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 .0,.....Opcode_xsr_m2_encode_fns
49a20 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d 33 22 2c 20 49 43 4c 41 53 53 5f 78 ,.0,.0.},...{."rsr.m3",.ICLASS_x
49a40 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f t_iclass_rsr_m3,.....0,.....Opco
49a60 64 65 5f 72 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 de_rsr_m3_encode_fns,.0,.0.},...
49a80 7b 20 22 77 73 72 2e 6d 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 {."wsr.m3",.ICLASS_xt_iclass_wsr
49aa0 5f 6d 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 33 5f 65 6e _m3,.....0,.....Opcode_wsr_m3_en
49ac0 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6d 33 22 2c 20 code_fns,.0,.0.},...{."xsr.m3",.
49ae0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 33 2c 0a 20 20 20 20 30 2c 0a ICLASS_xt_iclass_xsr_m3,.....0,.
49b00 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ....Opcode_xsr_m3_encode_fns,.0,
49b20 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 61 63 63 6c 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 .0.},...{."rsr.acclo",.ICLASS_xt
49b40 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 6c 6f 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _iclass_rsr_acclo,.....0,.....Op
49b60 63 6f 64 65 5f 72 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 code_rsr_acclo_encode_fns,.0,.0.
49b80 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 61 63 63 6c 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 },...{."wsr.acclo",.ICLASS_xt_ic
49ba0 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 lass_wsr_acclo,.....0,.....Opcod
49bc0 65 5f 77 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a e_wsr_acclo_encode_fns,.0,.0.},.
49be0 20 20 7b 20 22 78 73 72 2e 61 63 63 6c 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ..{."xsr.acclo",.ICLASS_xt_iclas
49c00 73 5f 78 73 72 5f 61 63 63 6c 6f 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 s_xsr_acclo,.....0,.....Opcode_x
49c20 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b sr_acclo_encode_fns,.0,.0.},...{
49c40 20 22 72 73 72 2e 61 63 63 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ."rsr.acchi",.ICLASS_xt_iclass_r
49c60 73 72 5f 61 63 63 68 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f sr_acchi,.....0,.....Opcode_rsr_
49c80 61 63 63 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 acchi_encode_fns,.0,.0.},...{."w
49ca0 73 72 2e 61 63 63 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f sr.acchi",.ICLASS_xt_iclass_wsr_
49cc0 61 63 63 68 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 63 63 acchi,.....0,.....Opcode_wsr_acc
49ce0 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e hi_encode_fns,.0,.0.},...{."xsr.
49d00 61 63 63 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 acchi",.ICLASS_xt_iclass_xsr_acc
49d20 68 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 63 63 68 69 5f hi,.....0,.....Opcode_xsr_acchi_
49d40 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 69 22 2c 20 49 encode_fns,.0,.0.},...{."rfi",.I
49d60 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 69 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f CLASS_xt_iclass_rfi,.....XTENSA_
49d80 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 69 5f 65 OPCODE_IS_JUMP,.....Opcode_rfi_e
49da0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 61 69 74 69 22 2c 20 ncode_fns,.0,.0.},...{."waiti",.
49dc0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 74 2c 0a 20 20 20 20 30 2c 0a 20 20 ICLASS_xt_iclass_wait,.....0,...
49de0 20 20 4f 70 63 6f 64 65 5f 77 61 69 74 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_waiti_encode_fns,.0,.0.
49e00 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 69 6e 74 65 72 72 75 70 74 22 2c 20 49 43 4c 41 53 53 5f 78 },...{."rsr.interrupt",.ICLASS_x
49e20 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 2c 0a 20 20 20 20 30 2c 0a 20 t_iclass_rsr_interrupt,.....0,..
49e40 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 5f 65 6e 63 6f 64 65 5f 66 ...Opcode_rsr_interrupt_encode_f
49e60 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 6e 74 73 65 74 22 2c 20 49 43 ns,.0,.0.},...{."wsr.intset",.IC
49e80 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 73 65 74 2c 0a 20 20 20 20 30 LASS_xt_iclass_wsr_intset,.....0
49ea0 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 73 65 74 5f 65 6e 63 6f 64 65 5f 66 ,.....Opcode_wsr_intset_encode_f
49ec0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 6e 74 63 6c 65 61 72 22 2c 20 ns,.0,.0.},...{."wsr.intclear",.
49ee0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 2c 0a 20 ICLASS_xt_iclass_wsr_intclear,..
49f00 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 65 6e ...0,.....Opcode_wsr_intclear_en
49f20 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 69 6e 74 65 6e code_fns,.0,.0.},...{."rsr.inten
49f40 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 able",.ICLASS_xt_iclass_rsr_inte
49f60 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 nable,.....0,.....Opcode_rsr_int
49f80 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 enable_encode_fns,.0,.0.},...{."
49fa0 77 73 72 2e 69 6e 74 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 wsr.intenable",.ICLASS_xt_iclass
49fc0 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 _wsr_intenable,.....0,.....Opcod
49fe0 65 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 e_wsr_intenable_encode_fns,.0,.0
4a000 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 69 6e 74 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f .},...{."xsr.intenable",.ICLASS_
4a020 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a xt_iclass_xsr_intenable,.....0,.
4a040 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f ....Opcode_xsr_intenable_encode_
4a060 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 72 65 61 6b 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."break",.ICLASS
4a080 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _xt_iclass_break,.....0,.....Opc
4a0a0 6f 64 65 5f 62 72 65 61 6b 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 ode_break_encode_fns,.0,.0.},...
4a0c0 7b 20 22 62 72 65 61 6b 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 {."break.n",.ICLASS_xt_iclass_br
4a0e0 65 61 6b 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 72 65 61 6b 5f 6e eak_n,.....0,.....Opcode_break_n
4a100 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 62 _encode_fns,.0,.0.},...{."rsr.db
4a120 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 reaka0",.ICLASS_xt_iclass_rsr_db
4a140 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 reaka0,.....0,.....Opcode_rsr_db
4a160 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 reaka0_encode_fns,.0,.0.},...{."
4a180 77 73 72 2e 64 62 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f wsr.dbreaka0",.ICLASS_xt_iclass_
4a1a0 77 73 72 5f 64 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f wsr_dbreaka0,.....0,.....Opcode_
4a1c0 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c wsr_dbreaka0_encode_fns,.0,.0.},
4a1e0 0a 20 20 7b 20 22 78 73 72 2e 64 62 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ...{."xsr.dbreaka0",.ICLASS_xt_i
4a200 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f class_xsr_dbreaka0,.....0,.....O
4a220 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 pcode_xsr_dbreaka0_encode_fns,.0
4a240 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 62 72 65 61 6b 63 30 22 2c 20 49 43 4c 41 53 ,.0.},...{."rsr.dbreakc0",.ICLAS
4a260 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 2c 0a 20 20 20 20 30 2c S_xt_iclass_rsr_dbreakc0,.....0,
4a280 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 5f 65 6e 63 6f 64 65 5f .....Opcode_rsr_dbreakc0_encode_
4a2a0 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 64 62 72 65 61 6b 63 30 22 2c fns,.0,.0.},...{."wsr.dbreakc0",
4a2c0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 2c 0a .ICLASS_xt_iclass_wsr_dbreakc0,.
4a2e0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 65 ....0,.....Opcode_wsr_dbreakc0_e
4a300 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 62 72 65 ncode_fns,.0,.0.},...{."xsr.dbre
4a320 61 6b 63 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 akc0",.ICLASS_xt_iclass_xsr_dbre
4a340 61 6b 63 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 akc0,.....0,.....Opcode_xsr_dbre
4a360 61 6b 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 akc0_encode_fns,.0,.0.},...{."rs
4a380 72 2e 64 62 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 r.dbreaka1",.ICLASS_xt_iclass_rs
4a3a0 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 r_dbreaka1,.....0,.....Opcode_rs
4a3c0 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 r_dbreaka1_encode_fns,.0,.0.},..
4a3e0 20 7b 20 22 77 73 72 2e 64 62 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c .{."wsr.dbreaka1",.ICLASS_xt_icl
4a400 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 ass_wsr_dbreaka1,.....0,.....Opc
4a420 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ode_wsr_dbreaka1_encode_fns,.0,.
4a440 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 62 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 0.},...{."xsr.dbreaka1",.ICLASS_
4a460 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 xt_iclass_xsr_dbreaka1,.....0,..
4a480 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e ...Opcode_xsr_dbreaka1_encode_fn
4a4a0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 62 72 65 61 6b 63 31 22 2c 20 49 s,.0,.0.},...{."rsr.dbreakc1",.I
4a4c0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 2c 0a 20 20 CLASS_xt_iclass_rsr_dbreakc1,...
4a4e0 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 65 6e 63 ..0,.....Opcode_rsr_dbreakc1_enc
4a500 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 64 62 72 65 61 6b ode_fns,.0,.0.},...{."wsr.dbreak
4a520 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b c1",.ICLASS_xt_iclass_wsr_dbreak
4a540 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b c1,.....0,.....Opcode_wsr_dbreak
4a560 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e c1_encode_fns,.0,.0.},...{."xsr.
4a580 64 62 72 65 61 6b 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f dbreakc1",.ICLASS_xt_iclass_xsr_
4a5a0 64 62 72 65 61 6b 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f dbreakc1,.....0,.....Opcode_xsr_
4a5c0 64 62 72 65 61 6b 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b dbreakc1_encode_fns,.0,.0.},...{
4a5e0 20 22 72 73 72 2e 69 62 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ."rsr.ibreaka0",.ICLASS_xt_iclas
4a600 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 s_rsr_ibreaka0,.....0,.....Opcod
4a620 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 e_rsr_ibreaka0_encode_fns,.0,.0.
4a640 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 62 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 },...{."wsr.ibreaka0",.ICLASS_xt
4a660 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 _iclass_wsr_ibreaka0,.....0,....
4a680 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c .Opcode_wsr_ibreaka0_encode_fns,
4a6a0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 69 62 72 65 61 6b 61 30 22 2c 20 49 43 4c .0,.0.},...{."xsr.ibreaka0",.ICL
4a6c0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 ASS_xt_iclass_xsr_ibreaka0,.....
4a6e0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 0,.....Opcode_xsr_ibreaka0_encod
4a700 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 69 62 72 65 61 6b 61 31 e_fns,.0,.0.},...{."rsr.ibreaka1
4a720 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 ",.ICLASS_xt_iclass_rsr_ibreaka1
4a740 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 ,.....0,.....Opcode_rsr_ibreaka1
4a760 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 62 _encode_fns,.0,.0.},...{."wsr.ib
4a780 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 reaka1",.ICLASS_xt_iclass_wsr_ib
4a7a0 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 reaka1,.....0,.....Opcode_wsr_ib
4a7c0 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 reaka1_encode_fns,.0,.0.},...{."
4a7e0 78 73 72 2e 69 62 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.ibreaka1",.ICLASS_xt_iclass_
4a800 78 73 72 5f 69 62 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_ibreaka1,.....0,.....Opcode_
4a820 78 73 72 5f 69 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_ibreaka1_encode_fns,.0,.0.},
4a840 0a 20 20 7b 20 22 72 73 72 2e 69 62 72 65 61 6b 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f ...{."rsr.ibreakenable",.ICLASS_
4a860 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 2c 0a 20 20 20 20 xt_iclass_rsr_ibreakenable,.....
4a880 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 65 0,.....Opcode_rsr_ibreakenable_e
4a8a0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 62 72 65 ncode_fns,.0,.0.},...{."wsr.ibre
4a8c0 61 6b 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f akenable",.ICLASS_xt_iclass_wsr_
4a8e0 69 62 72 65 61 6b 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f ibreakenable,.....0,.....Opcode_
4a900 77 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 wsr_ibreakenable_encode_fns,.0,.
4a920 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 69 62 72 65 61 6b 65 6e 61 62 6c 65 22 2c 20 49 43 4c 0.},...{."xsr.ibreakenable",.ICL
4a940 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 2c 0a ASS_xt_iclass_xsr_ibreakenable,.
4a960 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 ....0,.....Opcode_xsr_ibreakenab
4a980 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e le_encode_fns,.0,.0.},...{."rsr.
4a9a0 64 65 62 75 67 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 debugcause",.ICLASS_xt_iclass_rs
4a9c0 72 5f 64 65 62 75 67 63 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f r_debugcause,.....0,.....Opcode_
4a9e0 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 rsr_debugcause_encode_fns,.0,.0.
4aa00 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 64 65 62 75 67 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f },...{."wsr.debugcause",.ICLASS_
4aa20 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 2c 0a 20 20 20 20 30 2c xt_iclass_wsr_debugcause,.....0,
4aa40 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 6f 64 .....Opcode_wsr_debugcause_encod
4aa60 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 65 62 75 67 63 61 75 e_fns,.0,.0.},...{."xsr.debugcau
4aa80 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 62 75 67 63 se",.ICLASS_xt_iclass_xsr_debugc
4aaa0 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 62 75 ause,.....0,.....Opcode_xsr_debu
4aac0 67 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 gcause_encode_fns,.0,.0.},...{."
4aae0 72 73 72 2e 69 63 6f 75 6e 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 rsr.icount",.ICLASS_xt_iclass_rs
4ab00 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f r_icount,.....0,.....Opcode_rsr_
4ab20 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 icount_encode_fns,.0,.0.},...{."
4ab40 77 73 72 2e 69 63 6f 75 6e 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 wsr.icount",.ICLASS_xt_iclass_ws
4ab60 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f r_icount,.....0,.....Opcode_wsr_
4ab80 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 icount_encode_fns,.0,.0.},...{."
4aba0 78 73 72 2e 69 63 6f 75 6e 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 xsr.icount",.ICLASS_xt_iclass_xs
4abc0 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f r_icount,.....0,.....Opcode_xsr_
4abe0 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 icount_encode_fns,.0,.0.},...{."
4ac00 72 73 72 2e 69 63 6f 75 6e 74 6c 65 76 65 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 rsr.icountlevel",.ICLASS_xt_icla
4ac20 73 73 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f ss_rsr_icountlevel,.....0,.....O
4ac40 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 pcode_rsr_icountlevel_encode_fns
4ac60 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 63 6f 75 6e 74 6c 65 76 65 6c 22 2c ,.0,.0.},...{."wsr.icountlevel",
4ac80 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 .ICLASS_xt_iclass_wsr_icountleve
4aca0 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 63 6f 75 6e 74 6c l,.....0,.....Opcode_wsr_icountl
4acc0 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 evel_encode_fns,.0,.0.},...{."xs
4ace0 72 2e 69 63 6f 75 6e 74 6c 65 76 65 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 r.icountlevel",.ICLASS_xt_iclass
4ad00 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _xsr_icountlevel,.....0,.....Opc
4ad20 6f 64 65 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ode_xsr_icountlevel_encode_fns,.
4ad40 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 0,.0.},...{."rsr.ddr",.ICLASS_xt
4ad60 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f _iclass_rsr_ddr,.....0,.....Opco
4ad80 64 65 5f 72 73 72 5f 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 de_rsr_ddr_encode_fns,.0,.0.},..
4ada0 20 7b 20 22 77 73 72 2e 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 .{."wsr.ddr",.ICLASS_xt_iclass_w
4adc0 73 72 5f 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 64 sr_ddr,.....0,.....Opcode_wsr_dd
4ade0 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 r_encode_fns,.0,.0.},...{."xsr.d
4ae00 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 64 72 2c 0a 20 dr",.ICLASS_xt_iclass_xsr_ddr,..
4ae20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 64 72 5f 65 6e 63 6f 64 65 5f ...0,.....Opcode_xsr_ddr_encode_
4ae40 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 64 6f 22 2c 20 49 43 4c 41 53 53 5f fns,.0,.0.},...{."rfdo",.ICLASS_
4ae60 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 6f 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 xt_iclass_rfdo,.....XTENSA_OPCOD
4ae80 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 64 6f 5f 65 6e 63 6f 64 E_IS_JUMP,.....Opcode_rfdo_encod
4aea0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 64 64 22 2c 20 49 43 4c 41 53 e_fns,.0,.0.},...{."rfdd",.ICLAS
4aec0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 S_xt_iclass_rfdd,.....XTENSA_OPC
4aee0 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 64 64 5f 65 6e 63 ODE_IS_JUMP,.....Opcode_rfdd_enc
4af00 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 6d 69 64 22 2c ode_fns,.0,.0.},...{."wsr.mmid",
4af20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 6d 69 64 2c 0a 20 20 20 20 .ICLASS_xt_iclass_wsr_mmid,.....
4af40 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 6d 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 0,.....Opcode_wsr_mmid_encode_fn
4af60 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 63 63 6f 75 6e 74 22 2c 20 49 43 4c s,.0,.0.},...{."rsr.ccount",.ICL
4af80 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c ASS_xt_iclass_rsr_ccount,.....0,
4afa0 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e .....Opcode_rsr_ccount_encode_fn
4afc0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 63 6f 75 6e 74 22 2c 20 49 43 4c s,.0,.0.},...{."wsr.ccount",.ICL
4afe0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c ASS_xt_iclass_wsr_ccount,.....0,
4b000 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e .....Opcode_wsr_ccount_encode_fn
4b020 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 63 63 6f 75 6e 74 22 2c 20 49 43 4c s,.0,.0.},...{."xsr.ccount",.ICL
4b040 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c ASS_xt_iclass_xsr_ccount,.....0,
4b060 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e .....Opcode_xsr_ccount_encode_fn
4b080 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 63 63 6f 6d 70 61 72 65 30 22 2c 20 s,.0,.0.},...{."rsr.ccompare0",.
4b0a0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a ICLASS_xt_iclass_rsr_ccompare0,.
4b0c0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f ....0,.....Opcode_rsr_ccompare0_
4b0e0 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 63 6f encode_fns,.0,.0.},...{."wsr.cco
4b100 6d 70 61 72 65 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 mpare0",.ICLASS_xt_iclass_wsr_cc
4b120 6f 6d 70 61 72 65 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 ompare0,.....0,.....Opcode_wsr_c
4b140 63 6f 6d 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b compare0_encode_fns,.0,.0.},...{
4b160 20 22 78 73 72 2e 63 63 6f 6d 70 61 72 65 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ."xsr.ccompare0",.ICLASS_xt_icla
4b180 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 ss_xsr_ccompare0,.....0,.....Opc
4b1a0 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ode_xsr_ccompare0_encode_fns,.0,
4b1c0 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 63 63 6f 6d 70 61 72 65 31 22 2c 20 49 43 4c 41 53 .0.},...{."rsr.ccompare1",.ICLAS
4b1e0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 2c 0a 20 20 20 20 30 S_xt_iclass_rsr_ccompare1,.....0
4b200 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 ,.....Opcode_rsr_ccompare1_encod
4b220 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 63 6f 6d 70 61 72 65 e_fns,.0,.0.},...{."wsr.ccompare
4b240 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 1",.ICLASS_xt_iclass_wsr_ccompar
4b260 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 e1,.....0,.....Opcode_wsr_ccompa
4b280 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 re1_encode_fns,.0,.0.},...{."xsr
4b2a0 2e 63 63 6f 6d 70 61 72 65 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 .ccompare1",.ICLASS_xt_iclass_xs
4b2c0 72 5f 63 63 6f 6d 70 61 72 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 r_ccompare1,.....0,.....Opcode_x
4b2e0 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c sr_ccompare1_encode_fns,.0,.0.},
4b300 0a 20 20 7b 20 22 72 73 72 2e 63 63 6f 6d 70 61 72 65 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f ...{."rsr.ccompare2",.ICLASS_xt_
4b320 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 iclass_rsr_ccompare2,.....0,....
4b340 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_rsr_ccompare2_encode_fns
4b360 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 63 6f 6d 70 61 72 65 32 22 2c 20 49 ,.0,.0.},...{."wsr.ccompare2",.I
4b380 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 2c 0a 20 CLASS_xt_iclass_wsr_ccompare2,..
4b3a0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 65 ...0,.....Opcode_wsr_ccompare2_e
4b3c0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 63 63 6f 6d ncode_fns,.0,.0.},...{."xsr.ccom
4b3e0 70 61 72 65 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f pare2",.ICLASS_xt_iclass_xsr_cco
4b400 6d 70 61 72 65 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 mpare2,.....0,.....Opcode_xsr_cc
4b420 6f 6d 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ompare2_encode_fns,.0,.0.},...{.
4b440 22 69 70 66 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 2c 0a "ipf",.ICLASS_xt_iclass_icache,.
4b460 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 70 66 5f 65 6e 63 6f 64 65 5f 66 6e 73 ....0,.....Opcode_ipf_encode_fns
4b480 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ,.0,.0.},...{."ihi",.ICLASS_xt_i
4b4a0 63 6c 61 73 73 5f 69 63 61 63 68 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f class_icache,.....0,.....Opcode_
4b4c0 69 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 70 66 ihi_encode_fns,.0,.0.},...{."ipf
4b4e0 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f 6c 6f 63 6b l",.ICLASS_xt_iclass_icache_lock
4b500 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 70 66 6c 5f 65 6e 63 6f 64 65 5f ,.....0,.....Opcode_ipfl_encode_
4b520 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 68 75 22 2c 20 49 43 4c 41 53 53 5f 78 fns,.0,.0.},...{."ihu",.ICLASS_x
4b540 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f 6c 6f 63 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 t_iclass_icache_lock,.....0,....
4b560 20 4f 70 63 6f 64 65 5f 69 68 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a .Opcode_ihu_encode_fns,.0,.0.},.
4b580 20 20 7b 20 22 69 69 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 ..{."iiu",.ICLASS_xt_iclass_icac
4b5a0 68 65 5f 6c 6f 63 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 69 75 5f 65 he_lock,.....0,.....Opcode_iiu_e
4b5c0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 69 69 22 2c 20 49 43 ncode_fns,.0,.0.},...{."iii",.IC
4b5e0 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f 69 6e 76 2c 0a 20 20 20 20 30 LASS_xt_iclass_icache_inv,.....0
4b600 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 69 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ,.....Opcode_iii_encode_fns,.0,.
4b620 30 20 7d 2c 0a 20 20 7b 20 22 6c 69 63 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 0.},...{."lict",.ICLASS_xt_iclas
4b640 73 5f 6c 69 63 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 69 63 74 5f 65 s_licx,.....0,.....Opcode_lict_e
4b660 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 69 63 77 22 2c 20 49 ncode_fns,.0,.0.},...{."licw",.I
4b680 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 63 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 CLASS_xt_iclass_licx,.....0,....
4b6a0 20 4f 70 63 6f 64 65 5f 6c 69 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c .Opcode_licw_encode_fns,.0,.0.},
4b6c0 0a 20 20 7b 20 22 73 69 63 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 ...{."sict",.ICLASS_xt_iclass_si
4b6e0 63 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 69 63 74 5f 65 6e 63 6f 64 cx,.....0,.....Opcode_sict_encod
4b700 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 63 77 22 2c 20 49 43 4c 41 53 e_fns,.0,.0.},...{."sicw",.ICLAS
4b720 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 S_xt_iclass_sicx,.....0,.....Opc
4b740 6f 64 65 5f 73 69 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b ode_sicw_encode_fns,.0,.0.},...{
4b760 20 22 64 68 77 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 ."dhwb",.ICLASS_xt_iclass_dcache
4b780 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 68 77 62 5f 65 6e 63 6f 64 65 5f ,.....0,.....Opcode_dhwb_encode_
4b7a0 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 68 77 62 69 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."dhwbi",.ICLASS
4b7c0 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _xt_iclass_dcache,.....0,.....Op
4b7e0 63 6f 64 65 5f 64 68 77 62 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 code_dhwbi_encode_fns,.0,.0.},..
4b800 20 7b 20 22 64 69 77 62 75 69 2e 70 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f .{."diwbui.p",.ICLASS_xt_iclass_
4b820 64 63 61 63 68 65 5f 64 79 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 69 dcache_dyn,.....0,.....Opcode_di
4b840 77 62 75 69 5f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 wbui_p_encode_fns,.0,.0.},...{."
4b860 64 69 77 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 diwb",.ICLASS_xt_iclass_dcache_i
4b880 6e 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 5f 65 6e 63 6f 64 nd,.....0,.....Opcode_diwb_encod
4b8a0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 69 77 62 69 22 2c 20 49 43 4c 41 e_fns,.0,.0.},...{."diwbi",.ICLA
4b8c0 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 2c 0a 20 20 20 20 30 2c 0a SS_xt_iclass_dcache_ind,.....0,.
4b8e0 20 20 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ....Opcode_diwbi_encode_fns,.0,.
4b900 30 20 7d 2c 0a 20 20 7b 20 22 64 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 0.},...{."dhi",.ICLASS_xt_iclass
4b920 5f 64 63 61 63 68 65 5f 69 6e 76 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 _dcache_inv,.....0,.....Opcode_d
4b940 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 69 69 22 hi_encode_fns,.0,.0.},...{."dii"
4b960 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 76 2c 0a 20 ,.ICLASS_xt_iclass_dcache_inv,..
4b980 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 69 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_dii_encode_fns,
4b9a0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 70 66 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .0,.0.},...{."dpfr",.ICLASS_xt_i
4b9c0 63 6c 61 73 73 5f 64 70 66 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 70 66 class_dpf,.....0,.....Opcode_dpf
4b9e0 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 70 66 77 22 r_encode_fns,.0,.0.},...{."dpfw"
4ba00 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 70 66 2c 0a 20 20 20 20 30 2c 0a 20 ,.ICLASS_xt_iclass_dpf,.....0,..
4ba20 20 20 20 4f 70 63 6f 64 65 5f 64 70 66 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ...Opcode_dpfw_encode_fns,.0,.0.
4ba40 7d 2c 0a 20 20 7b 20 22 64 70 66 72 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 },...{."dpfro",.ICLASS_xt_iclass
4ba60 5f 64 70 66 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 70 66 72 6f 5f 65 6e _dpf,.....0,.....Opcode_dpfro_en
4ba80 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 70 66 77 6f 22 2c 20 49 code_fns,.0,.0.},...{."dpfwo",.I
4baa0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 70 66 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 CLASS_xt_iclass_dpf,.....0,.....
4bac0 4f 70 63 6f 64 65 5f 64 70 66 77 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c Opcode_dpfwo_encode_fns,.0,.0.},
4bae0 0a 20 20 7b 20 22 64 70 66 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 ...{."dpfl",.ICLASS_xt_iclass_dc
4bb00 61 63 68 65 5f 6c 6f 63 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 70 66 ache_lock,.....0,.....Opcode_dpf
4bb20 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 68 75 22 2c l_encode_fns,.0,.0.},...{."dhu",
4bb40 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c 6f 63 6b 2c 0a 20 .ICLASS_xt_iclass_dcache_lock,..
4bb60 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 68 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_dhu_encode_fns,
4bb80 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 69 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 .0,.0.},...{."diu",.ICLASS_xt_ic
4bba0 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c 6f 63 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 lass_dcache_lock,.....0,.....Opc
4bbc0 6f 64 65 5f 64 69 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ode_diu_encode_fns,.0,.0.},...{.
4bbe0 22 73 64 63 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 64 63 74 2c 0a 20 "sdct",.ICLASS_xt_iclass_sdct,..
4bc00 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 64 63 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 ...0,.....Opcode_sdct_encode_fns
4bc20 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 64 63 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f ,.0,.0.},...{."ldct",.ICLASS_xt_
4bc40 69 63 6c 61 73 73 5f 6c 64 63 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c iclass_ldct,.....0,.....Opcode_l
4bc60 64 63 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 dct_encode_fns,.0,.0.},...{."wsr
4bc80 2e 70 74 65 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 .ptevaddr",.ICLASS_xt_iclass_wsr
4bca0 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 _ptevaddr,.....0,.....Opcode_wsr
4bcc0 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 _ptevaddr_encode_fns,.0,.0.},...
4bce0 7b 20 22 72 73 72 2e 70 74 65 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 {."rsr.ptevaddr",.ICLASS_xt_icla
4bd00 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f ss_rsr_ptevaddr,.....0,.....Opco
4bd20 64 65 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 de_rsr_ptevaddr_encode_fns,.0,.0
4bd40 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 70 74 65 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 .},...{."xsr.ptevaddr",.ICLASS_x
4bd60 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 t_iclass_xsr_ptevaddr,.....0,...
4bd80 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 ..Opcode_xsr_ptevaddr_encode_fns
4bda0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 72 61 73 69 64 22 2c 20 49 43 4c 41 53 ,.0,.0.},...{."rsr.rasid",.ICLAS
4bdc0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 2c 0a 20 20 20 20 30 2c 0a 20 20 S_xt_iclass_rsr_rasid,.....0,...
4bde0 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 ..Opcode_rsr_rasid_encode_fns,.0
4be00 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 72 61 73 69 64 22 2c 20 49 43 4c 41 53 53 5f 78 ,.0.},...{."wsr.rasid",.ICLASS_x
4be20 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t_iclass_wsr_rasid,.....0,.....O
4be40 70 63 6f 64 65 5f 77 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 pcode_wsr_rasid_encode_fns,.0,.0
4be60 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 72 61 73 69 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .},...{."xsr.rasid",.ICLASS_xt_i
4be80 63 6c 61 73 73 5f 78 73 72 5f 72 61 73 69 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f class_xsr_rasid,.....0,.....Opco
4bea0 64 65 5f 78 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c de_xsr_rasid_encode_fns,.0,.0.},
4bec0 0a 20 20 7b 20 22 72 73 72 2e 69 74 6c 62 63 66 67 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ...{."rsr.itlbcfg",.ICLASS_xt_ic
4bee0 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 lass_rsr_itlbcfg,.....0,.....Opc
4bf00 6f 64 65 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ode_rsr_itlbcfg_encode_fns,.0,.0
4bf20 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 74 6c 62 63 66 67 22 2c 20 49 43 4c 41 53 53 5f 78 74 .},...{."wsr.itlbcfg",.ICLASS_xt
4bf40 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 _iclass_wsr_itlbcfg,.....0,.....
4bf60 4f 70 63 6f 64 65 5f 77 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 Opcode_wsr_itlbcfg_encode_fns,.0
4bf80 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 69 74 6c 62 63 66 67 22 2c 20 49 43 4c 41 53 53 ,.0.},...{."xsr.itlbcfg",.ICLASS
4bfa0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 20 20 30 2c 0a 20 _xt_iclass_xsr_itlbcfg,.....0,..
4bfc0 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 ...Opcode_xsr_itlbcfg_encode_fns
4bfe0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 74 6c 62 63 66 67 22 2c 20 49 43 4c ,.0,.0.},...{."rsr.dtlbcfg",.ICL
4c000 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 20 20 30 ASS_xt_iclass_rsr_dtlbcfg,.....0
4c020 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f ,.....Opcode_rsr_dtlbcfg_encode_
4c040 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 64 74 6c 62 63 66 67 22 2c 20 fns,.0,.0.},...{."wsr.dtlbcfg",.
4c060 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 ICLASS_xt_iclass_wsr_dtlbcfg,...
4c080 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 65 6e 63 6f ..0,.....Opcode_wsr_dtlbcfg_enco
4c0a0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 74 6c 62 63 66 67 de_fns,.0,.0.},...{."xsr.dtlbcfg
4c0c0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 2c ",.ICLASS_xt_iclass_xsr_dtlbcfg,
4c0e0 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 65 .....0,.....Opcode_xsr_dtlbcfg_e
4c100 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 64 74 6c 62 22 2c 20 ncode_fns,.0,.0.},...{."idtlb",.
4c120 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 ICLASS_xt_iclass_idtlb,.....0,..
4c140 20 20 20 4f 70 63 6f 64 65 5f 69 64 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ...Opcode_idtlb_encode_fns,.0,.0
4c160 20 7d 2c 0a 20 20 7b 20 22 70 64 74 6c 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 .},...{."pdtlb",.ICLASS_xt_iclas
4c180 73 5f 72 64 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 70 64 74 6c 62 s_rdtlb,.....0,.....Opcode_pdtlb
4c1a0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 64 74 6c 62 30 _encode_fns,.0,.0.},...{."rdtlb0
4c1c0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 64 74 6c 62 2c 0a 20 20 20 20 30 ",.ICLASS_xt_iclass_rdtlb,.....0
4c1e0 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 64 74 6c 62 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ,.....Opcode_rdtlb0_encode_fns,.
4c200 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 64 74 6c 62 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 0,.0.},...{."rdtlb1",.ICLASS_xt_
4c220 69 63 6c 61 73 73 5f 72 64 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f iclass_rdtlb,.....0,.....Opcode_
4c240 72 64 74 6c 62 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 rdtlb1_encode_fns,.0,.0.},...{."
4c260 77 64 74 6c 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 64 74 6c 62 2c 0a wdtlb",.ICLASS_xt_iclass_wdtlb,.
4c280 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 64 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 ....0,.....Opcode_wdtlb_encode_f
4c2a0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 69 74 6c 62 22 2c 20 49 43 4c 41 53 53 5f ns,.0,.0.},...{."iitlb",.ICLASS_
4c2c0 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f xt_iclass_iitlb,.....0,.....Opco
4c2e0 64 65 5f 69 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b de_iitlb_encode_fns,.0,.0.},...{
4c300 20 22 70 69 74 6c 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 ."pitlb",.ICLASS_xt_iclass_ritlb
4c320 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 70 69 74 6c 62 5f 65 6e 63 6f 64 65 ,.....0,.....Opcode_pitlb_encode
4c340 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 69 74 6c 62 30 22 2c 20 49 43 4c 41 _fns,.0,.0.},...{."ritlb0",.ICLA
4c360 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f SS_xt_iclass_ritlb,.....0,.....O
4c380 70 63 6f 64 65 5f 72 69 74 6c 62 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c pcode_ritlb0_encode_fns,.0,.0.},
4c3a0 0a 20 20 7b 20 22 72 69 74 6c 62 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ...{."ritlb1",.ICLASS_xt_iclass_
4c3c0 72 69 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 69 74 6c 62 31 5f ritlb,.....0,.....Opcode_ritlb1_
4c3e0 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 69 74 6c 62 22 2c encode_fns,.0,.0.},...{."witlb",
4c400 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 69 74 6c 62 2c 0a 20 20 20 20 30 2c 0a .ICLASS_xt_iclass_witlb,.....0,.
4c420 20 20 20 20 4f 70 63 6f 64 65 5f 77 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ....Opcode_witlb_encode_fns,.0,.
4c440 30 20 7d 2c 0a 20 20 7b 20 22 6c 64 70 74 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 0.},...{."ldpte",.ICLASS_xt_icla
4c460 73 73 5f 6c 64 70 74 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 64 70 74 ss_ldpte,.....0,.....Opcode_ldpt
4c480 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 68 77 77 69 74 e_encode_fns,.0,.0.},...{."hwwit
4c4a0 6c 62 61 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 68 77 77 69 74 6c 62 61 2c lba",.ICLASS_xt_iclass_hwwitlba,
4c4c0 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 .....XTENSA_OPCODE_IS_BRANCH,...
4c4e0 20 20 4f 70 63 6f 64 65 5f 68 77 77 69 74 6c 62 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ..Opcode_hwwitlba_encode_fns,.0,
4c500 20 30 20 7d 2c 0a 20 20 7b 20 22 68 77 77 64 74 6c 62 61 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .0.},...{."hwwdtlba",.ICLASS_xt_
4c520 69 63 6c 61 73 73 5f 68 77 77 64 74 6c 62 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f iclass_hwwdtlba,.....0,.....Opco
4c540 64 65 5f 68 77 77 64 74 6c 62 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a de_hwwdtlba_encode_fns,.0,.0.},.
4c560 20 20 7b 20 22 72 73 72 2e 63 70 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ..{."rsr.cpenable",.ICLASS_xt_ic
4c580 6c 61 73 73 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 lass_rsr_cpenable,.....0,.....Op
4c5a0 63 6f 64 65 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c code_rsr_cpenable_encode_fns,.0,
4c5c0 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 70 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 .0.},...{."wsr.cpenable",.ICLASS
4c5e0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 70 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a _xt_iclass_wsr_cpenable,.....0,.
4c600 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 ....Opcode_wsr_cpenable_encode_f
4c620 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 63 70 65 6e 61 62 6c 65 22 2c 20 ns,.0,.0.},...{."xsr.cpenable",.
4c640 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 2c 0a 20 ICLASS_xt_iclass_xsr_cpenable,..
4c660 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e ...0,.....Opcode_xsr_cpenable_en
4c680 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 6c 61 6d 70 73 22 2c 20 code_fns,.0,.0.},...{."clamps",.
4c6a0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 6c 61 6d 70 2c 0a 20 20 20 20 30 2c 0a 20 ICLASS_xt_iclass_clamp,.....0,..
4c6c0 20 20 20 4f 70 63 6f 64 65 5f 63 6c 61 6d 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ...Opcode_clamps_encode_fns,.0,.
4c6e0 30 20 7d 2c 0a 20 20 7b 20 22 6d 69 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 0.},...{."min",.ICLASS_xt_iclass
4c700 5f 6d 69 6e 6d 61 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 69 6e 5f 65 _minmax,.....0,.....Opcode_min_e
4c720 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 61 78 22 2c 20 49 43 ncode_fns,.0,.0.},...{."max",.IC
4c740 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d 61 78 2c 0a 20 20 20 20 30 2c 0a 20 20 LASS_xt_iclass_minmax,.....0,...
4c760 20 20 4f 70 63 6f 64 65 5f 6d 61 78 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c ..Opcode_max_encode_fns,.0,.0.},
4c780 0a 20 20 7b 20 22 6d 69 6e 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 ...{."minu",.ICLASS_xt_iclass_mi
4c7a0 6e 6d 61 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 69 6e 75 5f 65 6e 63 nmax,.....0,.....Opcode_minu_enc
4c7c0 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 61 78 75 22 2c 20 49 43 4c ode_fns,.0,.0.},...{."maxu",.ICL
4c7e0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d 61 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 ASS_xt_iclass_minmax,.....0,....
4c800 20 4f 70 63 6f 64 65 5f 6d 61 78 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c .Opcode_maxu_encode_fns,.0,.0.},
4c820 0a 20 20 7b 20 22 6e 73 61 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 73 61 ...{."nsa",.ICLASS_xt_iclass_nsa
4c840 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6e 73 61 5f 65 6e 63 6f 64 65 5f 66 ,.....0,.....Opcode_nsa_encode_f
4c860 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6e 73 61 75 22 2c 20 49 43 4c 41 53 53 5f 78 ns,.0,.0.},...{."nsau",.ICLASS_x
4c880 74 5f 69 63 6c 61 73 73 5f 6e 73 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f t_iclass_nsa,.....0,.....Opcode_
4c8a0 6e 73 61 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 65 nsau_encode_fns,.0,.0.},...{."se
4c8c0 78 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 78 2c 0a 20 20 20 20 30 2c xt",.ICLASS_xt_iclass_sx,.....0,
4c8e0 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 65 78 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 .....Opcode_sext_encode_fns,.0,.
4c900 30 20 7d 2c 0a 20 20 7b 20 22 6c 33 32 61 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 0.},...{."l32ai",.ICLASS_xt_icla
4c920 73 73 5f 6c 33 32 61 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 33 32 61 ss_l32ai,.....0,.....Opcode_l32a
4c940 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 72 69 i_encode_fns,.0,.0.},...{."s32ri
4c960 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 72 69 2c 0a 20 20 20 20 30 ",.ICLASS_xt_iclass_s32ri,.....0
4c980 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 33 32 72 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 ,.....Opcode_s32ri_encode_fns,.0
4c9a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 63 31 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ,.0.},...{."s32c1i",.ICLASS_xt_i
4c9c0 63 6c 61 73 73 5f 73 33 32 63 31 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f class_s32c1i,.....0,.....Opcode_
4c9e0 73 33 32 63 31 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 s32c1i_encode_fns,.0,.0.},...{."
4ca00 72 73 72 2e 73 63 6f 6d 70 61 72 65 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 rsr.scompare1",.ICLASS_xt_iclass
4ca20 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 _rsr_scompare1,.....0,.....Opcod
4ca40 65 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 e_rsr_scompare1_encode_fns,.0,.0
4ca60 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 73 63 6f 6d 70 61 72 65 31 22 2c 20 49 43 4c 41 53 53 5f .},...{."wsr.scompare1",.ICLASS_
4ca80 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 2c 0a 20 20 20 20 30 2c 0a xt_iclass_wsr_scompare1,.....0,.
4caa0 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f ....Opcode_wsr_scompare1_encode_
4cac0 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 73 63 6f 6d 70 61 72 65 31 22 fns,.0,.0.},...{."xsr.scompare1"
4cae0 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 ,.ICLASS_xt_iclass_xsr_scompare1
4cb00 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 ,.....0,.....Opcode_xsr_scompare
4cb20 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 61 1_encode_fns,.0,.0.},...{."rsr.a
4cb40 74 6f 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 tomctl",.ICLASS_xt_iclass_rsr_at
4cb60 6f 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 74 6f omctl,.....0,.....Opcode_rsr_ato
4cb80 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 mctl_encode_fns,.0,.0.},...{."ws
4cba0 72 2e 61 74 6f 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 r.atomctl",.ICLASS_xt_iclass_wsr
4cbc0 5f 61 74 6f 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f _atomctl,.....0,.....Opcode_wsr_
4cbe0 61 74 6f 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 atomctl_encode_fns,.0,.0.},...{.
4cc00 22 78 73 72 2e 61 74 6f 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f "xsr.atomctl",.ICLASS_xt_iclass_
4cc20 78 73 72 5f 61 74 6f 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 xsr_atomctl,.....0,.....Opcode_x
4cc40 73 72 5f 61 74 6f 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 sr_atomctl_encode_fns,.0,.0.},..
4cc60 20 7b 20 22 71 75 6f 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 69 76 2c .{."quou",.ICLASS_xt_iclass_div,
4cc80 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 71 75 6f 75 5f 65 6e 63 6f 64 65 5f 66 .....0,.....Opcode_quou_encode_f
4cca0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 71 75 6f 73 22 2c 20 49 43 4c 41 53 53 5f 78 ns,.0,.0.},...{."quos",.ICLASS_x
4ccc0 74 5f 69 63 6c 61 73 73 5f 64 69 76 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f t_iclass_div,.....0,.....Opcode_
4cce0 71 75 6f 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 65 quos_encode_fns,.0,.0.},...{."re
4cd00 6d 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 69 76 2c 0a 20 20 20 20 30 mu",.ICLASS_xt_iclass_div,.....0
4cd20 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 65 6d 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ,.....Opcode_remu_encode_fns,.0,
4cd40 20 30 20 7d 2c 0a 20 20 7b 20 22 72 65 6d 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 .0.},...{."rems",.ICLASS_xt_icla
4cd60 73 73 5f 64 69 76 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 65 6d 73 5f 65 ss_div,.....0,.....Opcode_rems_e
4cd80 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 65 72 22 2c 20 49 43 ncode_fns,.0,.0.},...{."rer",.IC
4cda0 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f LASS_xt_iclass_rer,.....0,.....O
4cdc0 70 63 6f 64 65 5f 72 65 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 pcode_rer_encode_fns,.0,.0.},...
4cde0 7b 20 22 77 65 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 65 72 2c 0a 20 {."wer",.ICLASS_xt_iclass_wer,..
4ce00 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 65 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_wer_encode_fns,
4ce20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 75 72 2e 65 78 70 73 74 61 74 65 22 2c 20 49 43 4c .0,.0.},...{."rur.expstate",.ICL
4ce40 41 53 53 5f 72 75 72 5f 65 78 70 73 74 61 74 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 ASS_rur_expstate,.....0,.....Opc
4ce60 6f 64 65 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ode_rur_expstate_encode_fns,.0,.
4ce80 30 20 7d 2c 0a 20 20 7b 20 22 77 75 72 2e 65 78 70 73 74 61 74 65 22 2c 20 49 43 4c 41 53 53 5f 0.},...{."wur.expstate",.ICLASS_
4cea0 77 75 72 5f 65 78 70 73 74 61 74 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f wur_expstate,.....0,.....Opcode_
4cec0 77 75 72 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c wur_expstate_encode_fns,.0,.0.},
4cee0 0a 20 20 7b 20 22 72 65 61 64 5f 69 6d 70 77 69 72 65 22 2c 20 49 43 4c 41 53 53 5f 69 63 6c 61 ...{."read_impwire",.ICLASS_icla
4cf00 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f ss_READ_IMPWIRE,.....0,.....Opco
4cf20 64 65 5f 72 65 61 64 5f 69 6d 70 77 69 72 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 de_read_impwire_encode_fns,.0,.0
4cf40 20 7d 2c 0a 20 20 7b 20 22 73 65 74 62 5f 65 78 70 73 74 61 74 65 22 2c 20 49 43 4c 41 53 53 5f .},...{."setb_expstate",.ICLASS_
4cf60 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 20 20 30 2c 0a 20 20 20 iclass_SETB_EXPSTATE,.....0,....
4cf80 20 4f 70 63 6f 64 65 5f 73 65 74 62 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_setb_expstate_encode_fns
4cfa0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 6c 72 62 5f 65 78 70 73 74 61 74 65 22 2c 20 49 ,.0,.0.},...{."clrb_expstate",.I
4cfc0 43 4c 41 53 53 5f 69 63 6c 61 73 73 5f 43 4c 52 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 20 20 CLASS_iclass_CLRB_EXPSTATE,.....
4cfe0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 6c 72 62 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 0,.....Opcode_clrb_expstate_enco
4d000 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 72 6d 73 6b 5f 65 78 70 73 74 de_fns,.0,.0.},...{."wrmsk_expst
4d020 61 74 65 22 2c 20 49 43 4c 41 53 53 5f 69 63 6c 61 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 ate",.ICLASS_iclass_WRMSK_EXPSTA
4d040 54 45 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 72 6d 73 6b 5f 65 78 70 73 TE,.....0,.....Opcode_wrmsk_exps
4d060 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 0a 7d 3b 0a 0a 65 6e 75 6d tate_encode_fns,.0,.0.}.};..enum
4d080 20 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 69 64 20 7b 0a 20 20 4f 50 43 4f 44 45 5f 45 58 43 .xtensa_opcode_id.{...OPCODE_EXC
4d0a0 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 44 45 2c 0a W,...OPCODE_RFE,...OPCODE_RFDE,.
4d0c0 20 20 4f 50 43 4f 44 45 5f 53 59 53 43 41 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 31 ..OPCODE_SYSCALL,...OPCODE_CALL1
4d0e0 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 38 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 2,...OPCODE_CALL8,...OPCODE_CALL
4d100 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 31 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4,...OPCODE_CALLX12,...OPCODE_CA
4d120 4c 4c 58 38 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 34 2c 0a 20 20 4f 50 43 4f 44 45 5f LLX8,...OPCODE_CALLX4,...OPCODE_
4d140 45 4e 54 52 59 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 53 50 2c 0a 20 20 4f 50 43 4f 44 45 5f ENTRY,...OPCODE_MOVSP,...OPCODE_
4d160 52 4f 54 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 54 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 ROTW,...OPCODE_RETW,...OPCODE_RE
4d180 54 57 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 57 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 TW_N,...OPCODE_RFWO,...OPCODE_RF
4d1a0 57 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 33 32 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 33 32 45 WU,...OPCODE_L32E,...OPCODE_S32E
4d1c0 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 2c 0a 20 20 4f 50 43 ,...OPCODE_RSR_WINDOWBASE,...OPC
4d1e0 4f 44 45 5f 57 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 ODE_WSR_WINDOWBASE,...OPCODE_XSR
4d200 5f 57 49 4e 44 4f 57 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 57 49 4e 44 4f 57 _WINDOWBASE,...OPCODE_RSR_WINDOW
4d220 53 54 41 52 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 57 49 4e 44 4f 57 53 54 41 52 54 2c START,...OPCODE_WSR_WINDOWSTART,
4d240 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 57 49 4e 44 4f 57 53 54 41 52 54 2c 0a 20 20 4f 50 43 ...OPCODE_XSR_WINDOWSTART,...OPC
4d260 4f 44 45 5f 41 44 44 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 41 44 44 49 5f 4e 2c 0a 20 20 4f 50 ODE_ADD_N,...OPCODE_ADDI_N,...OP
4d280 43 4f 44 45 5f 42 45 51 5a 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 45 5a 5f 4e 2c 0a 20 20 CODE_BEQZ_N,...OPCODE_BNEZ_N,...
4d2a0 4f 50 43 4f 44 45 5f 49 4c 4c 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 33 32 49 5f 4e 2c 0a 20 OPCODE_ILL_N,...OPCODE_L32I_N,..
4d2c0 20 4f 50 43 4f 44 45 5f 4d 4f 56 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 49 5f 4e 2c 0a .OPCODE_MOV_N,...OPCODE_MOVI_N,.
4d2e0 20 20 4f 50 43 4f 44 45 5f 4e 4f 50 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 54 5f 4e 2c 0a ..OPCODE_NOP_N,...OPCODE_RET_N,.
4d300 20 20 4f 50 43 4f 44 45 5f 53 33 32 49 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 55 52 5f 54 48 ..OPCODE_S32I_N,...OPCODE_RUR_TH
4d320 52 45 41 44 50 54 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 55 52 5f 54 48 52 45 41 44 50 54 52 2c READPTR,...OPCODE_WUR_THREADPTR,
4d340 0a 20 20 4f 50 43 4f 44 45 5f 41 44 44 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 41 44 44 4d 49 2c 0a ...OPCODE_ADDI,...OPCODE_ADDMI,.
4d360 20 20 4f 50 43 4f 44 45 5f 41 44 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 55 42 2c 0a 20 20 4f 50 ..OPCODE_ADD,...OPCODE_SUB,...OP
4d380 43 4f 44 45 5f 41 44 44 58 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 41 44 44 58 34 2c 0a 20 20 4f 50 CODE_ADDX2,...OPCODE_ADDX4,...OP
4d3a0 43 4f 44 45 5f 41 44 44 58 38 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 55 42 58 32 2c 0a 20 20 4f 50 CODE_ADDX8,...OPCODE_SUBX2,...OP
4d3c0 43 4f 44 45 5f 53 55 42 58 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 55 42 58 38 2c 0a 20 20 4f 50 CODE_SUBX4,...OPCODE_SUBX8,...OP
4d3e0 43 4f 44 45 5f 41 4e 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 4f 52 2c 0a 20 20 4f 50 43 4f 44 45 5f CODE_AND,...OPCODE_OR,...OPCODE_
4d400 58 4f 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 45 51 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 45 XOR,...OPCODE_BEQI,...OPCODE_BNE
4d420 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 47 45 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4c 54 49 2c I,...OPCODE_BGEI,...OPCODE_BLTI,
4d440 0a 20 20 4f 50 43 4f 44 45 5f 42 42 43 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 42 53 49 2c 0a 20 ...OPCODE_BBCI,...OPCODE_BBSI,..
4d460 20 4f 50 43 4f 44 45 5f 42 47 45 55 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4c 54 55 49 2c 0a 20 .OPCODE_BGEUI,...OPCODE_BLTUI,..
4d480 20 4f 50 43 4f 44 45 5f 42 45 51 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 45 2c 0a 20 20 4f 50 43 .OPCODE_BEQ,...OPCODE_BNE,...OPC
4d4a0 4f 44 45 5f 42 47 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4c 54 2c 0a 20 20 4f 50 43 4f 44 45 5f ODE_BGE,...OPCODE_BLT,...OPCODE_
4d4c0 42 47 45 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4c 54 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 41 BGEU,...OPCODE_BLTU,...OPCODE_BA
4d4e0 4e 59 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 4f 4e 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 41 4c NY,...OPCODE_BNONE,...OPCODE_BAL
4d500 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 41 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 42 43 2c L,...OPCODE_BNALL,...OPCODE_BBC,
4d520 0a 20 20 4f 50 43 4f 44 45 5f 42 42 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 45 51 5a 2c 0a 20 20 ...OPCODE_BBS,...OPCODE_BEQZ,...
4d540 4f 50 43 4f 44 45 5f 42 4e 45 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 47 45 5a 2c 0a 20 20 4f 50 OPCODE_BNEZ,...OPCODE_BGEZ,...OP
4d560 43 4f 44 45 5f 42 4c 54 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 30 2c 0a 20 20 4f 50 43 CODE_BLTZ,...OPCODE_CALL0,...OPC
4d580 4f 44 45 5f 43 41 4c 4c 58 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 45 58 54 55 49 2c 0a 20 20 4f 50 ODE_CALLX0,...OPCODE_EXTUI,...OP
4d5a0 43 4f 44 45 5f 49 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4a CODE_ILL,...OPCODE_J,...OPCODE_J
4d5c0 58 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 31 36 55 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 31 36 53 X,...OPCODE_L16UI,...OPCODE_L16S
4d5e0 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 33 32 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 33 32 52 2c I,...OPCODE_L32I,...OPCODE_L32R,
4d600 0a 20 20 4f 50 43 4f 44 45 5f 4c 38 55 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 2c 0a 20 ...OPCODE_L8UI,...OPCODE_LOOP,..
4d620 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 4e 45 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 47 54 .OPCODE_LOOPNEZ,...OPCODE_LOOPGT
4d640 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 45 51 Z,...OPCODE_MOVI,...OPCODE_MOVEQ
4d660 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 4e 45 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 Z,...OPCODE_MOVNEZ,...OPCODE_MOV
4d680 4c 54 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 47 45 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4e LTZ,...OPCODE_MOVGEZ,...OPCODE_N
4d6a0 45 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 41 42 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 4e 4f 50 2c 0a EG,...OPCODE_ABS,...OPCODE_NOP,.
4d6c0 20 20 4f 50 43 4f 44 45 5f 52 45 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 49 4d 43 41 4c 4c 2c 0a ..OPCODE_RET,...OPCODE_SIMCALL,.
4d6e0 20 20 4f 50 43 4f 44 45 5f 53 31 36 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 33 32 49 2c 0a 20 20 ..OPCODE_S16I,...OPCODE_S32I,...
4d700 4f 50 43 4f 44 45 5f 53 33 32 4e 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 38 49 2c 0a 20 20 4f 50 OPCODE_S32NB,...OPCODE_S8I,...OP
4d720 43 4f 44 45 5f 53 53 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 53 4c 2c 0a 20 20 4f 50 43 4f 44 45 CODE_SSR,...OPCODE_SSL,...OPCODE
4d740 5f 53 53 41 38 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 53 41 38 42 2c 0a 20 20 4f 50 43 4f 44 45 _SSA8L,...OPCODE_SSA8B,...OPCODE
4d760 5f 53 53 41 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 52 _SSAI,...OPCODE_SLL,...OPCODE_SR
4d780 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 52 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 52 41 2c 0a 20 C,...OPCODE_SRL,...OPCODE_SRA,..
4d7a0 20 4f 50 43 4f 44 45 5f 53 4c 4c 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 52 41 49 2c 0a 20 20 4f .OPCODE_SLLI,...OPCODE_SRAI,...O
4d7c0 50 43 4f 44 45 5f 53 52 4c 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 45 4d 57 2c 0a 20 20 4f 50 43 PCODE_SRLI,...OPCODE_MEMW,...OPC
4d7e0 4f 44 45 5f 45 58 54 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 53 59 4e 43 2c 0a 20 20 4f 50 43 4f ODE_EXTW,...OPCODE_ISYNC,...OPCO
4d800 44 45 5f 52 53 59 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 45 53 59 4e 43 2c 0a 20 20 4f 50 43 4f DE_RSYNC,...OPCODE_ESYNC,...OPCO
4d820 44 45 5f 44 53 59 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 49 4c 2c 0a 20 20 4f 50 43 4f 44 DE_DSYNC,...OPCODE_RSIL,...OPCOD
4d840 45 5f 52 53 52 5f 4c 45 4e 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 45 4e 44 2c 0a 20 E_RSR_LEND,...OPCODE_WSR_LEND,..
4d860 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4c 45 4e 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c .OPCODE_XSR_LEND,...OPCODE_RSR_L
4d880 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 43 4f 55 4e 54 2c 0a 20 20 4f 50 COUNT,...OPCODE_WSR_LCOUNT,...OP
4d8a0 43 4f 44 45 5f 58 53 52 5f 4c 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 42 CODE_XSR_LCOUNT,...OPCODE_RSR_LB
4d8c0 45 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 42 45 47 2c 0a 20 20 4f 50 43 4f 44 45 5f EG,...OPCODE_WSR_LBEG,...OPCODE_
4d8e0 58 53 52 5f 4c 42 45 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 53 41 52 2c 0a 20 20 4f 50 XSR_LBEG,...OPCODE_RSR_SAR,...OP
4d900 43 4f 44 45 5f 57 53 52 5f 53 41 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 53 41 52 2c 0a CODE_WSR_SAR,...OPCODE_XSR_SAR,.
4d920 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 45 4d 43 54 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 ..OPCODE_RSR_MEMCTL,...OPCODE_WS
4d940 52 5f 4d 45 4d 43 54 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 45 4d 43 54 4c 2c 0a 20 R_MEMCTL,...OPCODE_XSR_MEMCTL,..
4d960 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 49 54 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 .OPCODE_RSR_LITBASE,...OPCODE_WS
4d980 52 5f 4c 49 54 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4c 49 54 42 41 53 45 2c R_LITBASE,...OPCODE_XSR_LITBASE,
4d9a0 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 4f 4e 46 49 47 49 44 30 2c 0a 20 20 4f 50 43 4f 44 ...OPCODE_RSR_CONFIGID0,...OPCOD
4d9c0 45 5f 57 53 52 5f 43 4f 4e 46 49 47 49 44 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 4f E_WSR_CONFIGID0,...OPCODE_RSR_CO
4d9e0 4e 46 49 47 49 44 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 50 53 2c 0a 20 20 4f 50 43 4f NFIGID1,...OPCODE_RSR_PS,...OPCO
4da00 44 45 5f 57 53 52 5f 50 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 50 53 2c 0a 20 20 4f 50 DE_WSR_PS,...OPCODE_XSR_PS,...OP
4da20 43 4f 44 45 5f 52 53 52 5f 45 50 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 31 CODE_RSR_EPC1,...OPCODE_WSR_EPC1
4da40 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 ,...OPCODE_XSR_EPC1,...OPCODE_RS
4da60 52 5f 45 58 43 53 41 56 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 R_EXCSAVE1,...OPCODE_WSR_EXCSAVE
4da80 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 31 2c 0a 20 20 4f 50 43 4f 1,...OPCODE_XSR_EXCSAVE1,...OPCO
4daa0 44 45 5f 52 53 52 5f 45 50 43 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 32 2c 0a DE_RSR_EPC2,...OPCODE_WSR_EPC2,.
4dac0 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f ..OPCODE_XSR_EPC2,...OPCODE_RSR_
4dae0 45 58 43 53 41 56 45 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 32 2c EXCSAVE2,...OPCODE_WSR_EXCSAVE2,
4db00 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 32 2c 0a 20 20 4f 50 43 4f 44 45 ...OPCODE_XSR_EXCSAVE2,...OPCODE
4db20 5f 52 53 52 5f 45 50 43 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 33 2c 0a 20 20 _RSR_EPC3,...OPCODE_WSR_EPC3,...
4db40 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 OPCODE_XSR_EPC3,...OPCODE_RSR_EX
4db60 43 53 41 56 45 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 33 2c 0a 20 CSAVE3,...OPCODE_WSR_EXCSAVE3,..
4db80 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 .OPCODE_XSR_EXCSAVE3,...OPCODE_R
4dba0 53 52 5f 45 50 43 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 34 2c 0a 20 20 4f 50 SR_EPC4,...OPCODE_WSR_EPC4,...OP
4dbc0 43 4f 44 45 5f 58 53 52 5f 45 50 43 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 CODE_XSR_EPC4,...OPCODE_RSR_EXCS
4dbe0 41 56 45 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 34 2c 0a 20 20 4f AVE4,...OPCODE_WSR_EXCSAVE4,...O
4dc00 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 PCODE_XSR_EXCSAVE4,...OPCODE_RSR
4dc20 5f 45 50 43 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 35 2c 0a 20 20 4f 50 43 4f _EPC5,...OPCODE_WSR_EPC5,...OPCO
4dc40 44 45 5f 58 53 52 5f 45 50 43 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 DE_XSR_EPC5,...OPCODE_RSR_EXCSAV
4dc60 45 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 35 2c 0a 20 20 4f 50 43 E5,...OPCODE_WSR_EXCSAVE5,...OPC
4dc80 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 ODE_XSR_EXCSAVE5,...OPCODE_RSR_E
4dca0 50 43 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 36 2c 0a 20 20 4f 50 43 4f 44 45 PC6,...OPCODE_WSR_EPC6,...OPCODE
4dcc0 5f 58 53 52 5f 45 50 43 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 36 _XSR_EPC6,...OPCODE_RSR_EXCSAVE6
4dce0 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 36 2c 0a 20 20 4f 50 43 4f 44 ,...OPCODE_WSR_EXCSAVE6,...OPCOD
4dd00 45 5f 58 53 52 5f 45 58 43 53 41 56 45 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 E_XSR_EXCSAVE6,...OPCODE_RSR_EPC
4dd20 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 7,...OPCODE_WSR_EPC7,...OPCODE_X
4dd40 53 52 5f 45 50 43 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 37 2c 0a SR_EPC7,...OPCODE_RSR_EXCSAVE7,.
4dd60 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 37 2c 0a 20 20 4f 50 43 4f 44 45 5f ..OPCODE_WSR_EXCSAVE7,...OPCODE_
4dd80 58 53 52 5f 45 58 43 53 41 56 45 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 32 2c XSR_EXCSAVE7,...OPCODE_RSR_EPS2,
4dda0 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 ...OPCODE_WSR_EPS2,...OPCODE_XSR
4ddc0 5f 45 50 53 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 33 2c 0a 20 20 4f 50 43 4f _EPS2,...OPCODE_RSR_EPS3,...OPCO
4dde0 44 45 5f 57 53 52 5f 45 50 53 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 33 2c 0a DE_WSR_EPS3,...OPCODE_XSR_EPS3,.
4de00 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f ..OPCODE_RSR_EPS4,...OPCODE_WSR_
4de20 45 50 53 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 34 2c 0a 20 20 4f 50 43 4f 44 EPS4,...OPCODE_XSR_EPS4,...OPCOD
4de40 45 5f 52 53 52 5f 45 50 53 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 35 2c 0a 20 E_RSR_EPS5,...OPCODE_WSR_EPS5,..
4de60 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 .OPCODE_XSR_EPS5,...OPCODE_RSR_E
4de80 50 53 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 36 2c 0a 20 20 4f 50 43 4f 44 45 PS6,...OPCODE_WSR_EPS6,...OPCODE
4dea0 5f 58 53 52 5f 45 50 53 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 37 2c 0a 20 20 _XSR_EPS6,...OPCODE_RSR_EPS7,...
4dec0 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 OPCODE_WSR_EPS7,...OPCODE_XSR_EP
4dee0 53 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 56 41 44 44 52 2c 0a 20 20 4f 50 43 S7,...OPCODE_RSR_EXCVADDR,...OPC
4df00 4f 44 45 5f 57 53 52 5f 45 58 43 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 ODE_WSR_EXCVADDR,...OPCODE_XSR_E
4df20 58 43 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 45 50 43 2c 0a 20 20 4f 50 XCVADDR,...OPCODE_RSR_DEPC,...OP
4df40 43 4f 44 45 5f 57 53 52 5f 44 45 50 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 45 50 43 CODE_WSR_DEPC,...OPCODE_XSR_DEPC
4df60 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f 44 ,...OPCODE_RSR_EXCCAUSE,...OPCOD
4df80 45 5f 57 53 52 5f 45 58 43 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 E_WSR_EXCCAUSE,...OPCODE_XSR_EXC
4dfa0 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 49 53 43 30 2c 0a 20 20 4f 50 43 CAUSE,...OPCODE_RSR_MISC0,...OPC
4dfc0 4f 44 45 5f 57 53 52 5f 4d 49 53 43 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 49 53 43 ODE_WSR_MISC0,...OPCODE_XSR_MISC
4dfe0 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 49 53 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 0,...OPCODE_RSR_MISC1,...OPCODE_
4e000 57 53 52 5f 4d 49 53 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 49 53 43 31 2c 0a 20 WSR_MISC1,...OPCODE_XSR_MISC1,..
4e020 20 4f 50 43 4f 44 45 5f 52 53 52 5f 50 52 49 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 56 .OPCODE_RSR_PRID,...OPCODE_RSR_V
4e040 45 43 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 56 45 43 42 41 53 45 2c 0a 20 20 ECBASE,...OPCODE_WSR_VECBASE,...
4e060 4f 50 43 4f 44 45 5f 58 53 52 5f 56 45 43 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c OPCODE_XSR_VECBASE,...OPCODE_MUL
4e080 31 36 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 31 36 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 16U,...OPCODE_MUL16S,...OPCODE_M
4e0a0 55 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 ULL,...OPCODE_MUL_AA_LL,...OPCOD
4e0c0 45 5f 4d 55 4c 5f 41 41 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 4c 48 2c E_MUL_AA_HL,...OPCODE_MUL_AA_LH,
4e0e0 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 55 4d ...OPCODE_MUL_AA_HH,...OPCODE_UM
4e100 55 4c 5f 41 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 41 5f 48 4c 2c 0a 20 UL_AA_LL,...OPCODE_UMUL_AA_HL,..
4e120 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 55 4d 55 .OPCODE_UMUL_AA_LH,...OPCODE_UMU
4e140 4c 5f 41 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 4c 4c 2c 0a 20 20 4f L_AA_HH,...OPCODE_MUL_AD_LL,...O
4e160 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 PCODE_MUL_AD_HL,...OPCODE_MUL_AD
4e180 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 _LH,...OPCODE_MUL_AD_HH,...OPCOD
4e1a0 45 5f 4d 55 4c 5f 44 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 48 4c 2c E_MUL_DA_LL,...OPCODE_MUL_DA_HL,
4e1c0 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 ...OPCODE_MUL_DA_LH,...OPCODE_MU
4e1e0 4c 5f 44 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 4c 4c 2c 0a 20 20 4f L_DA_HH,...OPCODE_MUL_DD_LL,...O
4e200 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 PCODE_MUL_DD_HL,...OPCODE_MUL_DD
4e220 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 _LH,...OPCODE_MUL_DD_HH,...OPCOD
4e240 45 5f 4d 55 4c 41 5f 41 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 48 E_MULA_AA_LL,...OPCODE_MULA_AA_H
4e260 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 L,...OPCODE_MULA_AA_LH,...OPCODE
4e280 5f 4d 55 4c 41 5f 41 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 4c 4c _MULA_AA_HH,...OPCODE_MULS_AA_LL
4e2a0 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f ,...OPCODE_MULS_AA_HL,...OPCODE_
4e2c0 4d 55 4c 53 5f 41 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 48 48 2c MULS_AA_LH,...OPCODE_MULS_AA_HH,
4e2e0 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d ...OPCODE_MULA_AD_LL,...OPCODE_M
4e300 55 4c 41 5f 41 44 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 4c 48 2c 0a ULA_AD_HL,...OPCODE_MULA_AD_LH,.
4e320 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 ..OPCODE_MULA_AD_HH,...OPCODE_MU
4e340 4c 53 5f 41 44 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 44 5f 48 4c 2c 0a 20 LS_AD_LL,...OPCODE_MULS_AD_HL,..
4e360 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 44 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c .OPCODE_MULS_AD_LH,...OPCODE_MUL
4e380 53 5f 41 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 4c 2c 0a 20 20 S_AD_HH,...OPCODE_MULA_DA_LL,...
4e3a0 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 OPCODE_MULA_DA_HL,...OPCODE_MULA
4e3c0 5f 44 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 48 2c 0a 20 20 4f _DA_LH,...OPCODE_MULA_DA_HH,...O
4e3e0 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f PCODE_MULS_DA_LL,...OPCODE_MULS_
4e400 44 41 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 4c 48 2c 0a 20 20 4f 50 DA_HL,...OPCODE_MULS_DA_LH,...OP
4e420 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 CODE_MULS_DA_HH,...OPCODE_MULA_D
4e440 44 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 2c 0a 20 20 4f 50 43 D_LL,...OPCODE_MULA_DD_HL,...OPC
4e460 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 ODE_MULA_DD_LH,...OPCODE_MULA_DD
4e480 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 4c 4c 2c 0a 20 20 4f 50 43 4f _HH,...OPCODE_MULS_DD_LL,...OPCO
4e4a0 44 45 5f 4d 55 4c 53 5f 44 44 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f DE_MULS_DD_HL,...OPCODE_MULS_DD_
4e4c0 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 LH,...OPCODE_MULS_DD_HH,...OPCOD
4e4e0 45 5f 4d 55 4c 41 5f 44 41 5f 4c 4c 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c E_MULA_DA_LL_LDDEC,...OPCODE_MUL
4e500 41 5f 44 41 5f 4c 4c 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f A_DA_LL_LDINC,...OPCODE_MULA_DA_
4e520 48 4c 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 4c 5f 4c 44 HL_LDDEC,...OPCODE_MULA_DA_HL_LD
4e540 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 48 5f 4c 44 44 45 43 2c 0a INC,...OPCODE_MULA_DA_LH_LDDEC,.
4e560 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 48 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 ..OPCODE_MULA_DA_LH_LDINC,...OPC
4e580 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 48 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d ODE_MULA_DA_HH_LDDEC,...OPCODE_M
4e5a0 55 4c 41 5f 44 41 5f 48 48 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 ULA_DA_HH_LDINC,...OPCODE_MULA_D
4e5c0 44 5f 4c 4c 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 4c 5f D_LL_LDDEC,...OPCODE_MULA_DD_LL_
4e5e0 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 5f 4c 44 44 45 43 LDINC,...OPCODE_MULA_DD_HL_LDDEC
4e600 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 5f 4c 44 49 4e 43 2c 0a 20 20 4f ,...OPCODE_MULA_DD_HL_LDINC,...O
4e620 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 48 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 PCODE_MULA_DD_LH_LDDEC,...OPCODE
4e640 5f 4d 55 4c 41 5f 44 44 5f 4c 48 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 _MULA_DD_LH_LDINC,...OPCODE_MULA
4e660 5f 44 44 5f 48 48 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 _DD_HH_LDDEC,...OPCODE_MULA_DD_H
4e680 48 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 H_LDINC,...OPCODE_LDDEC,...OPCOD
4e6a0 45 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 30 2c 0a 20 20 4f 50 43 4f E_LDINC,...OPCODE_RSR_M0,...OPCO
4e6c0 44 45 5f 57 53 52 5f 4d 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 30 2c 0a 20 20 4f 50 DE_WSR_M0,...OPCODE_XSR_M0,...OP
4e6e0 43 4f 44 45 5f 52 53 52 5f 4d 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 31 2c 0a 20 20 CODE_RSR_M1,...OPCODE_WSR_M1,...
4e700 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 32 2c 0a OPCODE_XSR_M1,...OPCODE_RSR_M2,.
4e720 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 32 ..OPCODE_WSR_M2,...OPCODE_XSR_M2
4e740 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f ,...OPCODE_RSR_M3,...OPCODE_WSR_
4e760 4d 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 M3,...OPCODE_XSR_M3,...OPCODE_RS
4e780 52 5f 41 43 43 4c 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 41 43 43 4c 4f 2c 0a 20 20 4f R_ACCLO,...OPCODE_WSR_ACCLO,...O
4e7a0 50 43 4f 44 45 5f 58 53 52 5f 41 43 43 4c 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 41 43 PCODE_XSR_ACCLO,...OPCODE_RSR_AC
4e7c0 43 48 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 41 43 43 48 49 2c 0a 20 20 4f 50 43 4f 44 CHI,...OPCODE_WSR_ACCHI,...OPCOD
4e7e0 45 5f 58 53 52 5f 41 43 43 48 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 49 2c 0a 20 20 4f 50 43 E_XSR_ACCHI,...OPCODE_RFI,...OPC
4e800 4f 44 45 5f 57 41 49 54 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 4e 54 45 52 52 55 50 ODE_WAITI,...OPCODE_RSR_INTERRUP
4e820 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 4e 54 53 45 54 2c 0a 20 20 4f 50 43 4f 44 45 T,...OPCODE_WSR_INTSET,...OPCODE
4e840 5f 57 53 52 5f 49 4e 54 43 4c 45 41 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 4e 54 45 _WSR_INTCLEAR,...OPCODE_RSR_INTE
4e860 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 4e 54 45 4e 41 42 4c 45 2c 0a 20 NABLE,...OPCODE_WSR_INTENABLE,..
4e880 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 4e 54 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f .OPCODE_XSR_INTENABLE,...OPCODE_
4e8a0 42 52 45 41 4b 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 52 45 41 4b 5f 4e 2c 0a 20 20 4f 50 43 4f 44 BREAK,...OPCODE_BREAK_N,...OPCOD
4e8c0 45 5f 52 53 52 5f 44 42 52 45 41 4b 41 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 42 52 E_RSR_DBREAKA0,...OPCODE_WSR_DBR
4e8e0 45 41 4b 41 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b 41 30 2c 0a 20 20 EAKA0,...OPCODE_XSR_DBREAKA0,...
4e900 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 43 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 OPCODE_RSR_DBREAKC0,...OPCODE_WS
4e920 52 5f 44 42 52 45 41 4b 43 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b 43 R_DBREAKC0,...OPCODE_XSR_DBREAKC
4e940 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 0,...OPCODE_RSR_DBREAKA1,...OPCO
4e960 44 45 5f 57 53 52 5f 44 42 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 DE_WSR_DBREAKA1,...OPCODE_XSR_DB
4e980 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 43 31 2c 0a 20 REAKA1,...OPCODE_RSR_DBREAKC1,..
4e9a0 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 42 52 45 41 4b 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 .OPCODE_WSR_DBREAKC1,...OPCODE_X
4e9c0 53 52 5f 44 42 52 45 41 4b 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 42 52 45 41 4b SR_DBREAKC1,...OPCODE_RSR_IBREAK
4e9e0 41 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 42 52 45 41 4b 41 30 2c 0a 20 20 4f 50 43 A0,...OPCODE_WSR_IBREAKA0,...OPC
4ea00 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b 41 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 ODE_XSR_IBREAKA0,...OPCODE_RSR_I
4ea20 42 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 42 52 45 41 4b 41 31 2c 0a BREAKA1,...OPCODE_WSR_IBREAKA1,.
4ea40 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 44 45 5f ..OPCODE_XSR_IBREAKA1,...OPCODE_
4ea60 52 53 52 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 RSR_IBREAKENABLE,...OPCODE_WSR_I
4ea80 42 52 45 41 4b 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b BREAKENABLE,...OPCODE_XSR_IBREAK
4eaa0 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 45 42 55 47 43 41 55 53 45 2c ENABLE,...OPCODE_RSR_DEBUGCAUSE,
4eac0 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 45 42 55 47 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f ...OPCODE_WSR_DEBUGCAUSE,...OPCO
4eae0 44 45 5f 58 53 52 5f 44 45 42 55 47 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f DE_XSR_DEBUGCAUSE,...OPCODE_RSR_
4eb00 49 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 43 4f 55 4e 54 2c 0a 20 20 4f ICOUNT,...OPCODE_WSR_ICOUNT,...O
4eb20 50 43 4f 44 45 5f 58 53 52 5f 49 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 PCODE_XSR_ICOUNT,...OPCODE_RSR_I
4eb40 43 4f 55 4e 54 4c 45 56 45 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 43 4f 55 4e 54 4c COUNTLEVEL,...OPCODE_WSR_ICOUNTL
4eb60 45 56 45 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 43 4f 55 4e 54 4c 45 56 45 4c 2c 0a EVEL,...OPCODE_XSR_ICOUNTLEVEL,.
4eb80 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 ..OPCODE_RSR_DDR,...OPCODE_WSR_D
4eba0 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 DR,...OPCODE_XSR_DDR,...OPCODE_R
4ebc0 46 44 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 44 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 FDO,...OPCODE_RFDD,...OPCODE_WSR
4ebe0 5f 4d 4d 49 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 55 4e 54 2c 0a 20 20 4f 50 _MMID,...OPCODE_RSR_CCOUNT,...OP
4ec00 43 4f 44 45 5f 57 53 52 5f 43 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 CODE_WSR_CCOUNT,...OPCODE_XSR_CC
4ec20 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 41 52 45 30 2c 0a 20 20 OUNT,...OPCODE_RSR_CCOMPARE0,...
4ec40 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f 4d 50 41 52 45 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 OPCODE_WSR_CCOMPARE0,...OPCODE_X
4ec60 53 52 5f 43 43 4f 4d 50 41 52 45 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 SR_CCOMPARE0,...OPCODE_RSR_CCOMP
4ec80 41 52 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f 4d 50 41 52 45 31 2c 0a 20 20 ARE1,...OPCODE_WSR_CCOMPARE1,...
4eca0 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d 50 41 52 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 OPCODE_XSR_CCOMPARE1,...OPCODE_R
4ecc0 53 52 5f 43 43 4f 4d 50 41 52 45 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f 4d 50 SR_CCOMPARE2,...OPCODE_WSR_CCOMP
4ece0 41 52 45 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d 50 41 52 45 32 2c 0a 20 20 ARE2,...OPCODE_XSR_CCOMPARE2,...
4ed00 4f 50 43 4f 44 45 5f 49 50 46 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 48 49 2c 0a 20 20 4f 50 43 4f OPCODE_IPF,...OPCODE_IHI,...OPCO
4ed20 44 45 5f 49 50 46 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 48 55 2c 0a 20 20 4f 50 43 4f 44 45 5f DE_IPFL,...OPCODE_IHU,...OPCODE_
4ed40 49 49 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 49 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 49 43 54 IIU,...OPCODE_III,...OPCODE_LICT
4ed60 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 49 43 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 49 43 54 2c 0a ,...OPCODE_LICW,...OPCODE_SICT,.
4ed80 20 20 4f 50 43 4f 44 45 5f 53 49 43 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 48 57 42 2c 0a 20 20 ..OPCODE_SICW,...OPCODE_DHWB,...
4eda0 4f 50 43 4f 44 45 5f 44 48 57 42 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 49 57 42 55 49 5f 50 2c OPCODE_DHWBI,...OPCODE_DIWBUI_P,
4edc0 0a 20 20 4f 50 43 4f 44 45 5f 44 49 57 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 49 57 42 49 2c 0a ...OPCODE_DIWB,...OPCODE_DIWBI,.
4ede0 20 20 4f 50 43 4f 44 45 5f 44 48 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 49 49 2c 0a 20 20 4f 50 ..OPCODE_DHI,...OPCODE_DII,...OP
4ee00 43 4f 44 45 5f 44 50 46 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 50 46 57 2c 0a 20 20 4f 50 43 4f CODE_DPFR,...OPCODE_DPFW,...OPCO
4ee20 44 45 5f 44 50 46 52 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 50 46 57 4f 2c 0a 20 20 4f 50 43 4f DE_DPFRO,...OPCODE_DPFWO,...OPCO
4ee40 44 45 5f 44 50 46 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 48 55 2c 0a 20 20 4f 50 43 4f 44 45 5f DE_DPFL,...OPCODE_DHU,...OPCODE_
4ee60 44 49 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 44 43 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 44 43 DIU,...OPCODE_SDCT,...OPCODE_LDC
4ee80 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 50 54 45 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f T,...OPCODE_WSR_PTEVADDR,...OPCO
4eea0 44 45 5f 52 53 52 5f 50 54 45 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 50 54 DE_RSR_PTEVADDR,...OPCODE_XSR_PT
4eec0 45 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 52 41 53 49 44 2c 0a 20 20 4f 50 EVADDR,...OPCODE_RSR_RASID,...OP
4eee0 43 4f 44 45 5f 57 53 52 5f 52 41 53 49 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 52 41 53 CODE_WSR_RASID,...OPCODE_XSR_RAS
4ef00 49 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 54 4c 42 43 46 47 2c 0a 20 20 4f 50 43 4f ID,...OPCODE_RSR_ITLBCFG,...OPCO
4ef20 44 45 5f 57 53 52 5f 49 54 4c 42 43 46 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 54 4c DE_WSR_ITLBCFG,...OPCODE_XSR_ITL
4ef40 42 43 46 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 54 4c 42 43 46 47 2c 0a 20 20 4f 50 BCFG,...OPCODE_RSR_DTLBCFG,...OP
4ef60 43 4f 44 45 5f 57 53 52 5f 44 54 4c 42 43 46 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 CODE_WSR_DTLBCFG,...OPCODE_XSR_D
4ef80 54 4c 42 43 46 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 44 54 4c 42 2c 0a 20 20 4f 50 43 4f 44 45 TLBCFG,...OPCODE_IDTLB,...OPCODE
4efa0 5f 50 44 54 4c 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 44 54 4c 42 30 2c 0a 20 20 4f 50 43 4f 44 _PDTLB,...OPCODE_RDTLB0,...OPCOD
4efc0 45 5f 52 44 54 4c 42 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 44 54 4c 42 2c 0a 20 20 4f 50 43 4f E_RDTLB1,...OPCODE_WDTLB,...OPCO
4efe0 44 45 5f 49 49 54 4c 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 50 49 54 4c 42 2c 0a 20 20 4f 50 43 4f DE_IITLB,...OPCODE_PITLB,...OPCO
4f000 44 45 5f 52 49 54 4c 42 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 49 54 4c 42 31 2c 0a 20 20 4f 50 DE_RITLB0,...OPCODE_RITLB1,...OP
4f020 43 4f 44 45 5f 57 49 54 4c 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 44 50 54 45 2c 0a 20 20 4f 50 CODE_WITLB,...OPCODE_LDPTE,...OP
4f040 43 4f 44 45 5f 48 57 57 49 54 4c 42 41 2c 0a 20 20 4f 50 43 4f 44 45 5f 48 57 57 44 54 4c 42 41 CODE_HWWITLBA,...OPCODE_HWWDTLBA
4f060 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 50 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 ,...OPCODE_RSR_CPENABLE,...OPCOD
4f080 45 5f 57 53 52 5f 43 50 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 50 45 E_WSR_CPENABLE,...OPCODE_XSR_CPE
4f0a0 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 4c 41 4d 50 53 2c 0a 20 20 4f 50 43 4f 44 45 NABLE,...OPCODE_CLAMPS,...OPCODE
4f0c0 5f 4d 49 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 41 58 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 49 4e _MIN,...OPCODE_MAX,...OPCODE_MIN
4f0e0 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 41 58 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 4e 53 41 2c 0a U,...OPCODE_MAXU,...OPCODE_NSA,.
4f100 20 20 4f 50 43 4f 44 45 5f 4e 53 41 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 45 58 54 2c 0a 20 20 ..OPCODE_NSAU,...OPCODE_SEXT,...
4f120 4f 50 43 4f 44 45 5f 4c 33 32 41 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 33 32 52 49 2c 0a 20 20 OPCODE_L32AI,...OPCODE_S32RI,...
4f140 4f 50 43 4f 44 45 5f 53 33 32 43 31 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 53 43 4f 4d OPCODE_S32C1I,...OPCODE_RSR_SCOM
4f160 50 41 52 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 53 43 4f 4d 50 41 52 45 31 2c 0a 20 PARE1,...OPCODE_WSR_SCOMPARE1,..
4f180 20 4f 50 43 4f 44 45 5f 58 53 52 5f 53 43 4f 4d 50 41 52 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f .OPCODE_XSR_SCOMPARE1,...OPCODE_
4f1a0 52 53 52 5f 41 54 4f 4d 43 54 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 41 54 4f 4d 43 54 RSR_ATOMCTL,...OPCODE_WSR_ATOMCT
4f1c0 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 41 54 4f 4d 43 54 4c 2c 0a 20 20 4f 50 43 4f 44 L,...OPCODE_XSR_ATOMCTL,...OPCOD
4f1e0 45 5f 51 55 4f 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 51 55 4f 53 2c 0a 20 20 4f 50 43 4f 44 45 5f E_QUOU,...OPCODE_QUOS,...OPCODE_
4f200 52 45 4d 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 4d 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 REMU,...OPCODE_REMS,...OPCODE_RE
4f220 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 45 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 55 52 5f 45 58 R,...OPCODE_WER,...OPCODE_RUR_EX
4f240 50 53 54 41 54 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 55 52 5f 45 58 50 53 54 41 54 45 2c 0a 20 PSTATE,...OPCODE_WUR_EXPSTATE,..
4f260 20 4f 50 43 4f 44 45 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 .OPCODE_READ_IMPWIRE,...OPCODE_S
4f280 45 54 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 4c 52 42 5f 45 58 50 53 ETB_EXPSTATE,...OPCODE_CLRB_EXPS
4f2a0 54 41 54 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 0a 7d 3b TATE,...OPCODE_WRMSK_EXPSTATE.};
4f2c0 0a 0a 0c 0a 2f 2a 20 53 6c 6f 74 2d 73 70 65 63 69 66 69 63 20 6f 70 63 6f 64 65 20 64 65 63 6f ..../*.Slot-specific.opcode.deco
4f2e0 64 65 20 66 75 6e 63 74 69 6f 6e 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 53 6c de.functions...*/..static.int.Sl
4f300 6f 74 5f 69 6e 73 74 5f 64 65 63 6f 64 65 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 ot_inst_decode.(const.xtensa_ins
4f320 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f nbuf.insn).{...if.(Field_op0_Slo
4f340 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 20 20 20 20 7b 0a 20 20 t_inst_get.(insn).==.0).....{...
4f360 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
4f380 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 (insn).==.0)..{....if.(Field_op2
4f3a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.0).....
4f3c0 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f .{........if.(Field_r_Slot_inst_
4f3e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 7b 0a 09 09 20 20 69 66 20 28 46 69 65 get.(insn).==.0)...{.....if.(Fie
4f400 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 ld_m_Slot_inst_get.(insn).==.0.&
4f420 26 0a 09 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 &.........Field_s_Slot_inst_get.
4f440 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 09 20 20 20 20 20 20 46 69 65 6c 64 5f 6e 5f 53 (insn).==.0.&&.........Field_n_S
4f460 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 20 20 20 20 lot_inst_get.(insn).==.0).......
4f480 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 49 4c 4c 3b 0a 09 09 20 20 69 66 20 28 46 69 65 6c 64 return.OPCODE_ILL;.....if.(Field
4f4a0 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 _m_Slot_inst_get.(insn).==.2)...
4f4c0 20 20 20 20 7b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 ....{.........if.(Field_n_Slot_i
4f4e0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 09 72 65 74 75 72 6e 20 4f nst_get.(insn).==.0)....return.O
4f500 50 43 4f 44 45 5f 52 45 54 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 PCODE_RET;.........if.(Field_n_S
4f520 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 09 72 65 74 lot_inst_get.(insn).==.1)....ret
4f540 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 54 57 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 urn.OPCODE_RETW;.........if.(Fie
4f560 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a ld_n_Slot_inst_get.(insn).==.2).
4f580 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4a 58 3b 0a 09 09 20 20 20 20 7d 0a 09 09 20 ...return.OPCODE_JX;.......}....
4f5a0 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_m_Slot_inst_get.(insn
4f5c0 29 20 3d 3d 20 33 29 0a 09 09 20 20 20 20 7b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c ).==.3).......{.........if.(Fiel
4f5e0 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 d_n_Slot_inst_get.(insn).==.0)..
4f600 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 30 3b 0a 09 09 20 20 20 20 20 20 ..return.OPCODE_CALLX0;.........
4f620 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 if.(Field_n_Slot_inst_get.(insn)
4f640 20 3d 3d 20 31 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 34 3b 0a .==.1)....return.OPCODE_CALLX4;.
4f660 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 ........if.(Field_n_Slot_inst_ge
4f680 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.2)....return.OPCODE_
4f6a0 43 41 4c 4c 58 38 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 CALLX8;.........if.(Field_n_Slot
4f6c0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 09 72 65 74 75 72 6e _inst_get.(insn).==.3)....return
4f6e0 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 31 32 3b 0a 09 09 20 20 20 20 7d 0a 09 09 7d 0a 09 20 20 .OPCODE_CALLX12;.......}...}....
4f700 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ....if.(Field_r_Slot_inst_get.(i
4f720 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 53 50 nsn).==.1)...return.OPCODE_MOVSP
4f740 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ;........if.(Field_r_Slot_inst_g
4f760 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 7b 0a 09 09 20 20 69 66 20 28 46 69 65 6c et.(insn).==.2)...{.....if.(Fiel
4f780 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 d_s_Slot_inst_get.(insn).==.0)..
4f7a0 09 20 20 20 20 7b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f .....{.........if.(Field_t_Slot_
4f7c0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 09 72 65 74 75 72 6e 20 inst_get.(insn).==.0)....return.
4f7e0 4f 50 43 4f 44 45 5f 49 53 59 4e 43 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f OPCODE_ISYNC;.........if.(Field_
4f800 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 09 t_Slot_inst_get.(insn).==.1)....
4f820 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 59 4e 43 3b 0a 09 09 20 20 20 20 20 20 69 66 20 return.OPCODE_RSYNC;.........if.
4f840 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_t_Slot_inst_get.(insn).==
4f860 20 32 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 45 53 59 4e 43 3b 0a 09 09 20 20 .2)....return.OPCODE_ESYNC;.....
4f880 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ....if.(Field_t_Slot_inst_get.(i
4f8a0 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 53 59 4e nsn).==.3)....return.OPCODE_DSYN
4f8c0 43 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 C;.........if.(Field_t_Slot_inst
4f8e0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f _get.(insn).==.8)....return.OPCO
4f900 44 45 5f 45 58 43 57 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f DE_EXCW;.........if.(Field_t_Slo
4f920 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 09 09 72 65 74 75 t_inst_get.(insn).==.12)....retu
4f940 72 6e 20 4f 50 43 4f 44 45 5f 4d 45 4d 57 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c rn.OPCODE_MEMW;.........if.(Fiel
4f960 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a d_t_Slot_inst_get.(insn).==.13).
4f980 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 45 58 54 57 3b 0a 09 09 20 20 20 20 20 20 69 ...return.OPCODE_EXTW;.........i
4f9a0 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_t_Slot_inst_get.(insn).
4f9c0 3d 3d 20 31 35 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4e 4f 50 3b 0a 09 09 20 ==.15)....return.OPCODE_NOP;....
4f9e0 20 20 20 7d 0a 09 09 7d 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 ...}...}........if.(Field_r_Slot
4fa00 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 7b 0a 09 09 20 20 69 _inst_get.(insn).==.3)...{.....i
4fa20 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_t_Slot_inst_get.(insn).
4fa40 3d 3d 20 30 29 0a 09 09 20 20 20 20 7b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f ==.0).......{.........if.(Field_
4fa60 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 09 s_Slot_inst_get.(insn).==.0)....
4fa80 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 45 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 return.OPCODE_RFE;.........if.(F
4faa0 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 ield_s_Slot_inst_get.(insn).==.2
4fac0 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 44 45 3b 0a 09 09 20 20 20 20 20 )....return.OPCODE_RFDE;........
4fae0 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_s_Slot_inst_get.(insn
4fb00 29 20 3d 3d 20 34 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 57 4f 3b 0a 09 ).==.4)....return.OPCODE_RFWO;..
4fb20 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .......if.(Field_s_Slot_inst_get
4fb40 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 .(insn).==.5)....return.OPCODE_R
4fb60 46 57 55 3b 0a 09 09 20 20 20 20 7d 0a 09 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f FWU;.......}.....if.(Field_t_Slo
4fb80 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 20 20 20 20 72 65 t_inst_get.(insn).==.1).......re
4fba0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 49 3b 0a 09 09 7d 0a 09 20 20 20 20 20 20 69 66 20 28 turn.OPCODE_RFI;...}........if.(
4fbc0 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
4fbe0 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 52 45 41 4b 3b 0a 09 20 20 20 20 20 4)...return.OPCODE_BREAK;.......
4fc00 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_r_Slot_inst_get.(insn
4fc20 29 20 3d 3d 20 35 29 0a 09 09 7b 0a 09 09 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 ).==.5)...{.....if.(Field_s_Slot
4fc40 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 09 20 20 20 20 20 _inst_get.(insn).==.0.&&........
4fc60 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d .Field_t_Slot_inst_get.(insn).==
4fc80 20 30 29 0a 09 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 59 53 43 41 4c 4c 3b .0).......return.OPCODE_SYSCALL;
4fca0 0a 09 09 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 .....if.(Field_s_Slot_inst_get.(
4fcc0 69 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 5f 53 6c insn).==.1.&&.........Field_t_Sl
4fce0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 20 20 20 20 72 ot_inst_get.(insn).==.0).......r
4fd00 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 49 4d 43 41 4c 4c 3b 0a 09 09 7d 0a 09 20 20 20 20 20 eturn.OPCODE_SIMCALL;...}.......
4fd20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_r_Slot_inst_get.(insn
4fd40 29 20 3d 3d 20 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 49 4c 3b 0a 09 20 ).==.6)...return.OPCODE_RSIL;...
4fd60 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 .....if.(Field_r_Slot_inst_get.(
4fd80 69 6e 73 6e 29 20 3d 3d 20 37 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 insn).==.7.&&.....Field_t_Slot_i
4fda0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 nst_get.(insn).==.0)...return.OP
4fdc0 43 4f 44 45 5f 57 41 49 54 49 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f CODE_WAITI;......}....if.(Field_
4fde0 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 op2_Slot_inst_get.(insn).==.1)..
4fe00 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 4e 44 3b 0a 09 20 20 69 66 20 28 46 69 ....return.OPCODE_AND;....if.(Fi
4fe20 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op2_Slot_inst_get.(insn).==.
4fe40 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4f 52 3b 0a 09 20 20 69 66 20 2)......return.OPCODE_OR;....if.
4fe60 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op2_Slot_inst_get.(insn).
4fe80 3d 3d 20 33 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 4f 52 3b 0a 09 20 ==.3)......return.OPCODE_XOR;...
4fea0 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op2_Slot_inst_get.(in
4fec0 73 6e 29 20 3d 3d 20 34 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c sn).==.4)......{........if.(Fiel
4fee0 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 d_r_Slot_inst_get.(insn).==.0.&&
4ff00 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_t_Slot_inst_get.(insn
4ff20 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 53 52 3b 0a 09 20 20 ).==.0)...return.OPCODE_SSR;....
4ff40 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ....if.(Field_r_Slot_inst_get.(i
4ff60 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e nsn).==.1.&&.....Field_t_Slot_in
4ff80 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 st_get.(insn).==.0)...return.OPC
4ffa0 4f 44 45 5f 53 53 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 ODE_SSL;........if.(Field_r_Slot
4ffc0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 20 26 26 0a 09 09 20 20 46 69 65 _inst_get.(insn).==.2.&&.....Fie
4ffe0 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a ld_t_Slot_inst_get.(insn).==.0).
50000 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 53 41 38 4c 3b 0a 09 20 20 20 20 20 20 69 66 ..return.OPCODE_SSA8L;........if
50020 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .(Field_r_Slot_inst_get.(insn).=
50040 3d 20 33 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 =.3.&&.....Field_t_Slot_inst_get
50060 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 53 .(insn).==.0)...return.OPCODE_SS
50080 41 38 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 A8B;........if.(Field_r_Slot_ins
500a0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 t_get.(insn).==.4.&&.....Field_t
500c0 68 69 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 hi3_Slot_inst_get.(insn).==.0)..
500e0 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 53 41 49 3b 0a 09 20 20 20 20 20 20 69 66 20 28 .return.OPCODE_SSAI;........if.(
50100 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
50120 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 52 3b 0a 09 20 20 20 20 20 20 69 6)...return.OPCODE_RER;........i
50140 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_r_Slot_inst_get.(insn).
50160 3d 3d 20 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 45 52 3b 0a 09 20 20 20 20 ==.7)...return.OPCODE_WER;......
50180 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ..if.(Field_r_Slot_inst_get.(ins
501a0 6e 29 20 3d 3d 20 38 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 n).==.8.&&.....Field_s_Slot_inst
501c0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 _get.(insn).==.0)...return.OPCOD
501e0 45 5f 52 4f 54 57 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f E_ROTW;........if.(Field_r_Slot_
50200 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 09 72 65 74 75 72 6e 20 inst_get.(insn).==.14)...return.
50220 4f 50 43 4f 44 45 5f 4e 53 41 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 OPCODE_NSA;........if.(Field_r_S
50240 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.15)...ret
50260 75 72 6e 20 4f 50 43 4f 44 45 5f 4e 53 41 55 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 urn.OPCODE_NSAU;......}....if.(F
50280 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_op2_Slot_inst_get.(insn).==
502a0 20 35 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c .5)......{........if.(Field_r_Sl
502c0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 ot_inst_get.(insn).==.1)...retur
502e0 6e 20 4f 50 43 4f 44 45 5f 48 57 57 49 54 4c 42 41 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 n.OPCODE_HWWITLBA;........if.(Fi
50300 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 eld_r_Slot_inst_get.(insn).==.3)
50320 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 49 54 4c 42 30 3b 0a 09 20 20 20 20 20 20 ...return.OPCODE_RITLB0;........
50340 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 if.(Field_r_Slot_inst_get.(insn)
50360 20 3d 3d 20 34 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .==.4.&&.....Field_t_Slot_inst_g
50380 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f et.(insn).==.0)...return.OPCODE_
503a0 49 49 54 4c 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 IITLB;........if.(Field_r_Slot_i
503c0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 nst_get.(insn).==.5)...return.OP
503e0 43 4f 44 45 5f 50 49 54 4c 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 CODE_PITLB;........if.(Field_r_S
50400 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 09 09 72 65 74 75 lot_inst_get.(insn).==.6)...retu
50420 72 6e 20 4f 50 43 4f 44 45 5f 57 49 54 4c 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c rn.OPCODE_WITLB;........if.(Fiel
50440 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 29 0a 09 d_r_Slot_inst_get.(insn).==.7)..
50460 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 49 54 4c 42 31 3b 0a 09 20 20 20 20 20 20 69 66 .return.OPCODE_RITLB1;........if
50480 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .(Field_r_Slot_inst_get.(insn).=
504a0 3d 20 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 48 57 57 44 54 4c 42 41 3b 0a 09 =.9)...return.OPCODE_HWWDTLBA;..
504c0 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ......if.(Field_r_Slot_inst_get.
504e0 28 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 44 (insn).==.11)...return.OPCODE_RD
50500 54 4c 42 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e TLB0;........if.(Field_r_Slot_in
50520 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 09 20 20 46 69 65 6c 64 st_get.(insn).==.12.&&.....Field
50540 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 _t_Slot_inst_get.(insn).==.0)...
50560 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 49 44 54 4c 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 return.OPCODE_IDTLB;........if.(
50580 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
505a0 31 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 50 44 54 4c 42 3b 0a 09 20 20 20 20 13)...return.OPCODE_PDTLB;......
505c0 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ..if.(Field_r_Slot_inst_get.(ins
505e0 6e 29 20 3d 3d 20 31 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 44 54 4c 42 3b n).==.14)...return.OPCODE_WDTLB;
50600 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 ........if.(Field_r_Slot_inst_ge
50620 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.15)...return.OPCODE_
50640 52 44 54 4c 42 31 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f RDTLB1;......}....if.(Field_op2_
50660 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.6)......
50680 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 {........if.(Field_s_Slot_inst_g
506a0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f et.(insn).==.0)...return.OPCODE_
506c0 4e 45 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 NEG;........if.(Field_s_Slot_ins
506e0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f t_get.(insn).==.1)...return.OPCO
50700 44 45 5f 41 42 53 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f DE_ABS;......}....if.(Field_op2_
50720 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.8)......
50740 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f return.OPCODE_ADD;....if.(Field_
50760 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 op2_Slot_inst_get.(insn).==.9)..
50780 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 58 32 3b 0a 09 20 20 69 66 20 28 ....return.OPCODE_ADDX2;....if.(
507a0 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_op2_Slot_inst_get.(insn).=
507c0 3d 20 31 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 58 34 3b 0a =.10)......return.OPCODE_ADDX4;.
507e0 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op2_Slot_inst_get.(
50800 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f insn).==.11)......return.OPCODE_
50820 41 44 44 58 38 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 ADDX8;....if.(Field_op2_Slot_ins
50840 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 t_get.(insn).==.12)......return.
50860 4f 50 43 4f 44 45 5f 53 55 42 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f OPCODE_SUB;....if.(Field_op2_Slo
50880 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 09 20 20 20 20 72 65 t_inst_get.(insn).==.13)......re
508a0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 55 42 58 32 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f turn.OPCODE_SUBX2;....if.(Field_
508c0 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a op2_Slot_inst_get.(insn).==.14).
508e0 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 55 42 58 34 3b 0a 09 20 20 69 66 20 .....return.OPCODE_SUBX4;....if.
50900 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op2_Slot_inst_get.(insn).
50920 3d 3d 20 31 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 55 42 58 38 3b ==.15)......return.OPCODE_SUBX8;
50940 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 ..}.......if.(Field_op1_Slot_ins
50960 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 7b 0a 09 20 20 69 66 20 28 28 46 69 t_get.(insn).==.1)..{....if.((Fi
50980 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op2_Slot_inst_get.(insn).==.
509a0 30 20 7c 7c 0a 09 20 20 20 20 20 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 0.||.........Field_op2_Slot_inst
509c0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f _get.(insn).==.1))......return.O
509e0 50 43 4f 44 45 5f 53 4c 4c 49 3b 0a 09 20 20 69 66 20 28 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c PCODE_SLLI;....if.((Field_op2_Sl
50a00 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 20 7c 7c 0a 09 20 20 20 20 ot_inst_get.(insn).==.2.||......
50a20 20 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ...Field_op2_Slot_inst_get.(insn
50a40 29 20 3d 3d 20 33 29 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 52 41 49 ).==.3))......return.OPCODE_SRAI
50a60 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ;....if.(Field_op2_Slot_inst_get
50a80 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 .(insn).==.4)......return.OPCODE
50aa0 5f 53 52 4c 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 _SRLI;....if.(Field_op2_Slot_ins
50ac0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 t_get.(insn).==.6)......{.......
50ae0 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
50b00 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4c 42 45 n).==.0)...return.OPCODE_XSR_LBE
50b20 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 G;........if.(Field_sr_Slot_inst
50b40 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 _get.(insn).==.1)...return.OPCOD
50b60 45 5f 58 53 52 5f 4c 45 4e 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f E_XSR_LEND;........if.(Field_sr_
50b80 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 72 65 74 Slot_inst_get.(insn).==.2)...ret
50ba0 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4c 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 urn.OPCODE_XSR_LCOUNT;........if
50bc0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
50be0 3d 3d 20 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 53 41 52 3b 0a 09 ==.3)...return.OPCODE_XSR_SAR;..
50c00 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
50c20 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 .(insn).==.5)...return.OPCODE_XS
50c40 52 5f 4c 49 54 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 R_LITBASE;........if.(Field_sr_S
50c60 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.12)...ret
50c80 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 53 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 urn.OPCODE_XSR_SCOMPARE1;.......
50ca0 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
50cc0 6e 29 20 3d 3d 20 31 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 41 43 n).==.16)...return.OPCODE_XSR_AC
50ce0 43 4c 4f 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e CLO;........if.(Field_sr_Slot_in
50d00 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 st_get.(insn).==.17)...return.OP
50d20 43 4f 44 45 5f 58 53 52 5f 41 43 43 48 49 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 CODE_XSR_ACCHI;........if.(Field
50d40 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 32 29 0a _sr_Slot_inst_get.(insn).==.32).
50d60 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 30 3b 0a 09 20 20 20 20 20 20 69 ..return.OPCODE_XSR_M0;........i
50d80 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
50da0 20 3d 3d 20 33 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 31 3b 0a .==.33)...return.OPCODE_XSR_M1;.
50dc0 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
50de0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.34)...return.OPCODE_
50e00 58 53 52 5f 4d 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 XSR_M2;........if.(Field_sr_Slot
50e20 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 35 29 0a 09 09 72 65 74 75 72 6e _inst_get.(insn).==.35)...return
50e40 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 .OPCODE_XSR_M3;........if.(Field
50e60 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 32 29 0a _sr_Slot_inst_get.(insn).==.72).
50e80 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 3b 0a ..return.OPCODE_XSR_WINDOWBASE;.
50ea0 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
50ec0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.73)...return.OPCODE_
50ee0 58 53 52 5f 57 49 4e 44 4f 57 53 54 41 52 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c XSR_WINDOWSTART;........if.(Fiel
50f00 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 33 29 d_sr_Slot_inst_get.(insn).==.83)
50f20 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 50 54 45 56 41 44 44 52 3b 0a 09 ...return.OPCODE_XSR_PTEVADDR;..
50f40 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
50f60 20 28 69 6e 73 6e 29 20 3d 3d 20 39 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 .(insn).==.90)...return.OPCODE_X
50f80 53 52 5f 52 41 53 49 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c SR_RASID;........if.(Field_sr_Sl
50fa0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 31 29 0a 09 09 72 65 74 75 ot_inst_get.(insn).==.91)...retu
50fc0 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 54 4c 42 43 46 47 3b 0a 09 20 20 20 20 20 20 69 66 rn.OPCODE_XSR_ITLBCFG;........if
50fe0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
51000 3d 3d 20 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 54 4c 42 43 ==.92)...return.OPCODE_XSR_DTLBC
51020 46 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 FG;........if.(Field_sr_Slot_ins
51040 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 t_get.(insn).==.96)...return.OPC
51060 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 ODE_XSR_IBREAKENABLE;........if.
51080 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
510a0 3d 20 39 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 45 4d 43 54 4c =.97)...return.OPCODE_XSR_MEMCTL
510c0 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
510e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 get.(insn).==.99)...return.OPCOD
51100 45 5f 58 53 52 5f 41 54 4f 4d 43 54 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f E_XSR_ATOMCTL;........if.(Field_
51120 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 34 29 0a sr_Slot_inst_get.(insn).==.104).
51140 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 44 52 3b 0a 09 20 20 20 20 20 20 ..return.OPCODE_XSR_DDR;........
51160 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.(Field_sr_Slot_inst_get.(insn
51180 29 20 3d 3d 20 31 32 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 42 ).==.128)...return.OPCODE_XSR_IB
511a0 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 REAKA0;........if.(Field_sr_Slot
511c0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 39 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.129)...retur
511e0 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b 41 31 3b 0a 09 20 20 20 20 20 20 69 66 n.OPCODE_XSR_IBREAKA1;........if
51200 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
51220 3d 3d 20 31 34 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 ==.144)...return.OPCODE_XSR_DBRE
51240 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 AKA0;........if.(Field_sr_Slot_i
51260 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 35 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.145)...return.
51280 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b 41 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 OPCODE_XSR_DBREAKA1;........if.(
512a0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
512c0 20 31 36 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b .160)...return.OPCODE_XSR_DBREAK
512e0 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 C0;........if.(Field_sr_Slot_ins
51300 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 36 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 t_get.(insn).==.161)...return.OP
51320 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b 43 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 CODE_XSR_DBREAKC1;........if.(Fi
51340 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 eld_sr_Slot_inst_get.(insn).==.1
51360 37 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 31 3b 0a 09 20 77)...return.OPCODE_XSR_EPC1;...
51380 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
513a0 28 69 6e 73 6e 29 20 3d 3d 20 31 37 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 (insn).==.178)...return.OPCODE_X
513c0 53 52 5f 45 50 43 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f SR_EPC2;........if.(Field_sr_Slo
513e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 39 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.179)...retu
51400 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 rn.OPCODE_XSR_EPC3;........if.(F
51420 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
51440 31 38 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 34 3b 0a 09 180)...return.OPCODE_XSR_EPC4;..
51460 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
51480 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f .(insn).==.181)...return.OPCODE_
514a0 58 53 52 5f 45 50 43 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c XSR_EPC5;........if.(Field_sr_Sl
514c0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 32 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.182)...ret
514e0 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 36 3b 0a 09 20 20 20 20 20 20 69 66 20 28 urn.OPCODE_XSR_EPC6;........if.(
51500 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
51520 20 31 38 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 37 3b 0a .183)...return.OPCODE_XSR_EPC7;.
51540 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
51560 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.192)...return.OPCODE
51580 5f 58 53 52 5f 44 45 50 43 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _XSR_DEPC;........if.(Field_sr_S
515a0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 34 29 0a 09 09 72 65 lot_inst_get.(insn).==.194)...re
515c0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 32 3b 0a 09 20 20 20 20 20 20 69 66 20 turn.OPCODE_XSR_EPS2;........if.
515e0 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
51600 3d 20 31 39 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 33 3b =.195)...return.OPCODE_XSR_EPS3;
51620 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
51640 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 et.(insn).==.196)...return.OPCOD
51660 45 5f 58 53 52 5f 45 50 53 34 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f E_XSR_EPS4;........if.(Field_sr_
51680 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 37 29 0a 09 09 72 Slot_inst_get.(insn).==.197)...r
516a0 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 35 3b 0a 09 20 20 20 20 20 20 69 66 eturn.OPCODE_XSR_EPS5;........if
516c0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
516e0 3d 3d 20 31 39 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 36 ==.198)...return.OPCODE_XSR_EPS6
51700 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
51720 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f get.(insn).==.199)...return.OPCO
51740 44 45 5f 58 53 52 5f 45 50 53 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 DE_XSR_EPS7;........if.(Field_sr
51760 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 30 39 29 0a 09 09 _Slot_inst_get.(insn).==.209)...
51780 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 31 3b 0a 09 20 20 20 return.OPCODE_XSR_EXCSAVE1;.....
517a0 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
517c0 6e 73 6e 29 20 3d 3d 20 32 31 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 nsn).==.210)...return.OPCODE_XSR
517e0 5f 45 58 43 53 41 56 45 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _EXCSAVE2;........if.(Field_sr_S
51800 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 31 29 0a 09 09 72 65 lot_inst_get.(insn).==.211)...re
51820 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 33 3b 0a 09 20 20 20 20 20 turn.OPCODE_XSR_EXCSAVE3;.......
51840 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
51860 6e 29 20 3d 3d 20 32 31 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 n).==.212)...return.OPCODE_XSR_E
51880 58 43 53 41 56 45 34 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f XCSAVE4;........if.(Field_sr_Slo
518a0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 33 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.213)...retu
518c0 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 35 3b 0a 09 20 20 20 20 20 20 69 rn.OPCODE_XSR_EXCSAVE5;........i
518e0 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
51900 20 3d 3d 20 32 31 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 .==.214)...return.OPCODE_XSR_EXC
51920 53 41 56 45 36 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f SAVE6;........if.(Field_sr_Slot_
51940 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 35 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.215)...return
51960 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 37 3b 0a 09 20 20 20 20 20 20 69 66 20 .OPCODE_XSR_EXCSAVE7;........if.
51980 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
519a0 3d 20 32 32 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 50 45 4e 41 =.224)...return.OPCODE_XSR_CPENA
519c0 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e BLE;........if.(Field_sr_Slot_in
519e0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 38 29 0a 09 09 72 65 74 75 72 6e 20 4f st_get.(insn).==.228)...return.O
51a00 50 43 4f 44 45 5f 58 53 52 5f 49 4e 54 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 PCODE_XSR_INTENABLE;........if.(
51a20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
51a40 20 32 33 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 50 53 3b 0a 09 20 .230)...return.OPCODE_XSR_PS;...
51a60 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
51a80 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 (insn).==.231)...return.OPCODE_X
51aa0 53 52 5f 56 45 43 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f SR_VECBASE;........if.(Field_sr_
51ac0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 32 29 0a 09 09 72 Slot_inst_get.(insn).==.232)...r
51ae0 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 43 41 55 53 45 3b 0a 09 20 20 20 20 eturn.OPCODE_XSR_EXCCAUSE;......
51b00 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
51b20 73 6e 29 20 3d 3d 20 32 33 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f sn).==.233)...return.OPCODE_XSR_
51b40 44 45 42 55 47 43 41 55 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f DEBUGCAUSE;........if.(Field_sr_
51b60 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 34 29 0a 09 09 72 Slot_inst_get.(insn).==.234)...r
51b80 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 eturn.OPCODE_XSR_CCOUNT;........
51ba0 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.(Field_sr_Slot_inst_get.(insn
51bc0 29 20 3d 3d 20 32 33 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 43 ).==.236)...return.OPCODE_XSR_IC
51be0 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 OUNT;........if.(Field_sr_Slot_i
51c00 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 37 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.237)...return.
51c20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 43 4f 55 4e 54 4c 45 56 45 4c 3b 0a 09 20 20 20 20 20 20 69 OPCODE_XSR_ICOUNTLEVEL;........i
51c40 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
51c60 20 3d 3d 20 32 33 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 .==.238)...return.OPCODE_XSR_EXC
51c80 56 41 44 44 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f VADDR;........if.(Field_sr_Slot_
51ca0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 30 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.240)...return
51cc0 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d 50 41 52 45 30 3b 0a 09 20 20 20 20 20 20 69 66 .OPCODE_XSR_CCOMPARE0;........if
51ce0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
51d00 3d 3d 20 32 34 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d ==.241)...return.OPCODE_XSR_CCOM
51d20 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f PARE1;........if.(Field_sr_Slot_
51d40 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 32 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.242)...return
51d60 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d 50 41 52 45 32 3b 0a 09 20 20 20 20 20 20 69 66 .OPCODE_XSR_CCOMPARE2;........if
51d80 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
51da0 3d 3d 20 32 34 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 49 53 43 ==.244)...return.OPCODE_XSR_MISC
51dc0 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 0;........if.(Field_sr_Slot_inst
51de0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 _get.(insn).==.245)...return.OPC
51e00 4f 44 45 5f 58 53 52 5f 4d 49 53 43 31 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 ODE_XSR_MISC1;......}....if.(Fie
51e20 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 ld_op2_Slot_inst_get.(insn).==.8
51e40 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 52 43 3b 0a 09 20 20 69 66 20 )......return.OPCODE_SRC;....if.
51e60 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op2_Slot_inst_get.(insn).
51e80 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 ==.9.&&........Field_s_Slot_inst
51ea0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.0)......return.OP
51ec0 43 4f 44 45 5f 53 52 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f CODE_SRL;....if.(Field_op2_Slot_
51ee0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 inst_get.(insn).==.10.&&........
51f00 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_t_Slot_inst_get.(insn).==.
51f20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 4c 4c 3b 0a 09 20 20 69 66 0)......return.OPCODE_SLL;....if
51f40 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op2_Slot_inst_get.(insn)
51f60 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e .==.11.&&........Field_s_Slot_in
51f80 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.0)......return.
51fa0 4f 50 43 4f 44 45 5f 53 52 41 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f OPCODE_SRA;....if.(Field_op2_Slo
51fc0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 20 20 20 20 72 65 t_inst_get.(insn).==.12)......re
51fe0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 31 36 55 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 turn.OPCODE_MUL16U;....if.(Field
52000 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 _op2_Slot_inst_get.(insn).==.13)
52020 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 31 36 53 3b 0a 09 20 20 69 ......return.OPCODE_MUL16S;....i
52040 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e f.(Field_op2_Slot_inst_get.(insn
52060 29 20 3d 3d 20 31 35 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 ).==.15)......{........if.(Field
52080 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 _r_Slot_inst_get.(insn).==.0)...
520a0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 49 43 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 return.OPCODE_LICT;........if.(F
520c0 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 ield_r_Slot_inst_get.(insn).==.1
520e0 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 49 43 54 3b 0a 09 20 20 20 20 20 20 69 )...return.OPCODE_SICT;........i
52100 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_r_Slot_inst_get.(insn).
52120 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 49 43 57 3b 0a 09 20 20 20 ==.2)...return.OPCODE_LICW;.....
52140 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ...if.(Field_r_Slot_inst_get.(in
52160 73 6e 29 20 3d 3d 20 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 49 43 57 3b 0a sn).==.3)...return.OPCODE_SICW;.
52180 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .......if.(Field_r_Slot_inst_get
521a0 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 44 .(insn).==.8)...return.OPCODE_LD
521c0 43 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 CT;........if.(Field_r_Slot_inst
521e0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 _get.(insn).==.9)...return.OPCOD
52200 45 5f 53 44 43 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f E_SDCT;........if.(Field_r_Slot_
52220 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 20 26 26 0a 09 09 20 20 46 69 65 inst_get.(insn).==.14.&&.....Fie
52240 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a ld_t_Slot_inst_get.(insn).==.0).
52260 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 44 4f 3b 0a 09 20 20 20 20 20 20 69 66 20 ..return.OPCODE_RFDO;........if.
52280 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_r_Slot_inst_get.(insn).==
522a0 20 31 34 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .14.&&.....Field_t_Slot_inst_get
522c0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 .(insn).==.1)...return.OPCODE_RF
522e0 44 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 DD;........if.(Field_r_Slot_inst
52300 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f _get.(insn).==.15)...return.OPCO
52320 44 45 5f 4c 44 50 54 45 3b 0a 09 20 20 20 20 7d 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 DE_LDPTE;......}..}.......if.(Fi
52340 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op1_Slot_inst_get.(insn).==.
52360 32 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 2)..{....if.(Field_op2_Slot_inst
52380 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.8)......return.OP
523a0 43 4f 44 45 5f 4d 55 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 CODE_MULL;....if.(Field_op2_Slot
523c0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 20 20 20 20 72 65 74 _inst_get.(insn).==.12)......ret
523e0 75 72 6e 20 4f 50 43 4f 44 45 5f 51 55 4f 55 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 urn.OPCODE_QUOU;....if.(Field_op
52400 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 09 20 2_Slot_inst_get.(insn).==.13)...
52420 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 51 55 4f 53 3b 0a 09 20 20 69 66 20 28 46 69 ...return.OPCODE_QUOS;....if.(Fi
52440 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op2_Slot_inst_get.(insn).==.
52460 31 34 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 4d 55 3b 0a 09 20 20 14)......return.OPCODE_REMU;....
52480 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op2_Slot_inst_get.(ins
524a0 6e 29 20 3d 3d 20 31 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 4d n).==.15)......return.OPCODE_REM
524c0 53 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 S;..}.......if.(Field_op1_Slot_i
524e0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 nst_get.(insn).==.3)..{....if.(F
52500 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_op2_Slot_inst_get.(insn).==
52520 20 30 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 .0)......{........if.(Field_sr_S
52540 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 lot_inst_get.(insn).==.0)...retu
52560 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 42 45 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 rn.OPCODE_RSR_LBEG;........if.(F
52580 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
525a0 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 45 4e 44 3b 0a 09 20 20 1)...return.OPCODE_RSR_LEND;....
525c0 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ....if.(Field_sr_Slot_inst_get.(
525e0 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f insn).==.2)...return.OPCODE_RSR_
52600 4c 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 LCOUNT;........if.(Field_sr_Slot
52620 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 72 65 74 75 72 6e 20 _inst_get.(insn).==.3)...return.
52640 4f 50 43 4f 44 45 5f 52 53 52 5f 53 41 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 OPCODE_RSR_SAR;........if.(Field
52660 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 _sr_Slot_inst_get.(insn).==.5)..
52680 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 49 54 42 41 53 45 3b 0a 09 20 20 20 .return.OPCODE_RSR_LITBASE;.....
526a0 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
526c0 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f nsn).==.12)...return.OPCODE_RSR_
526e0 53 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 SCOMPARE1;........if.(Field_sr_S
52700 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 36 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.16)...ret
52720 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 41 43 43 4c 4f 3b 0a 09 20 20 20 20 20 20 69 66 20 urn.OPCODE_RSR_ACCLO;........if.
52740 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
52760 3d 20 31 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 41 43 43 48 49 3b =.17)...return.OPCODE_RSR_ACCHI;
52780 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
527a0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 et.(insn).==.32)...return.OPCODE
527c0 5f 52 53 52 5f 4d 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f _RSR_M0;........if.(Field_sr_Slo
527e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 33 29 0a 09 09 72 65 74 75 72 t_inst_get.(insn).==.33)...retur
52800 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c n.OPCODE_RSR_M1;........if.(Fiel
52820 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 34 29 d_sr_Slot_inst_get.(insn).==.34)
52840 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 32 3b 0a 09 20 20 20 20 20 20 ...return.OPCODE_RSR_M2;........
52860 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.(Field_sr_Slot_inst_get.(insn
52880 29 20 3d 3d 20 33 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 33 3b ).==.35)...return.OPCODE_RSR_M3;
528a0 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
528c0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 et.(insn).==.72)...return.OPCODE
528e0 5f 52 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c _RSR_WINDOWBASE;........if.(Fiel
52900 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 33 29 d_sr_Slot_inst_get.(insn).==.73)
52920 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 57 49 4e 44 4f 57 53 54 41 52 54 ...return.OPCODE_RSR_WINDOWSTART
52940 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
52960 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 get.(insn).==.83)...return.OPCOD
52980 45 5f 52 53 52 5f 50 54 45 56 41 44 44 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 E_RSR_PTEVADDR;........if.(Field
529a0 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 30 29 0a _sr_Slot_inst_get.(insn).==.90).
529c0 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 52 41 53 49 44 3b 0a 09 20 20 20 20 ..return.OPCODE_RSR_RASID;......
529e0 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
52a00 73 6e 29 20 3d 3d 20 39 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 sn).==.91)...return.OPCODE_RSR_I
52a20 54 4c 42 43 46 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 TLBCFG;........if.(Field_sr_Slot
52a40 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 32 29 0a 09 09 72 65 74 75 72 6e _inst_get.(insn).==.92)...return
52a60 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 54 4c 42 43 46 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 .OPCODE_RSR_DTLBCFG;........if.(
52a80 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
52aa0 20 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 42 52 45 41 4b 45 .96)...return.OPCODE_RSR_IBREAKE
52ac0 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f NABLE;........if.(Field_sr_Slot_
52ae0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 37 29 0a 09 09 72 65 74 75 72 6e 20 inst_get.(insn).==.97)...return.
52b00 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 45 4d 43 54 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 OPCODE_RSR_MEMCTL;........if.(Fi
52b20 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 eld_sr_Slot_inst_get.(insn).==.9
52b40 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 41 54 4f 4d 43 54 4c 3b 0a 9)...return.OPCODE_RSR_ATOMCTL;.
52b60 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
52b80 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.104)...return.OPCODE
52ba0 5f 52 53 52 5f 44 44 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c _RSR_DDR;........if.(Field_sr_Sl
52bc0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 38 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.128)...ret
52be0 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 42 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 urn.OPCODE_RSR_IBREAKA0;........
52c00 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.(Field_sr_Slot_inst_get.(insn
52c20 29 20 3d 3d 20 31 32 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 42 ).==.129)...return.OPCODE_RSR_IB
52c40 52 45 41 4b 41 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 REAKA1;........if.(Field_sr_Slot
52c60 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 34 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.144)...retur
52c80 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 n.OPCODE_RSR_DBREAKA0;........if
52ca0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
52cc0 3d 3d 20 31 34 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 ==.145)...return.OPCODE_RSR_DBRE
52ce0 41 4b 41 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 AKA1;........if.(Field_sr_Slot_i
52d00 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 36 30 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.160)...return.
52d20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 OPCODE_RSR_DBREAKC0;........if.(
52d40 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
52d60 20 31 36 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b .161)...return.OPCODE_RSR_DBREAK
52d80 43 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 C1;........if.(Field_sr_Slot_ins
52da0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 t_get.(insn).==.176)...return.OP
52dc0 43 4f 44 45 5f 52 53 52 5f 43 4f 4e 46 49 47 49 44 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 CODE_RSR_CONFIGID0;........if.(F
52de0 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
52e00 31 37 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 31 3b 0a 09 177)...return.OPCODE_RSR_EPC1;..
52e20 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
52e40 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f .(insn).==.178)...return.OPCODE_
52e60 52 53 52 5f 45 50 43 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c RSR_EPC2;........if.(Field_sr_Sl
52e80 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 39 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.179)...ret
52ea0 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 urn.OPCODE_RSR_EPC3;........if.(
52ec0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
52ee0 20 31 38 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 34 3b 0a .180)...return.OPCODE_RSR_EPC4;.
52f00 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
52f20 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.181)...return.OPCODE
52f40 5f 52 53 52 5f 45 50 43 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _RSR_EPC5;........if.(Field_sr_S
52f60 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 32 29 0a 09 09 72 65 lot_inst_get.(insn).==.182)...re
52f80 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 36 3b 0a 09 20 20 20 20 20 20 69 66 20 turn.OPCODE_RSR_EPC6;........if.
52fa0 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
52fc0 3d 20 31 38 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 37 3b =.183)...return.OPCODE_RSR_EPC7;
52fe0 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
53000 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 et.(insn).==.192)...return.OPCOD
53020 45 5f 52 53 52 5f 44 45 50 43 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f E_RSR_DEPC;........if.(Field_sr_
53040 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 34 29 0a 09 09 72 Slot_inst_get.(insn).==.194)...r
53060 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 32 3b 0a 09 20 20 20 20 20 20 69 66 eturn.OPCODE_RSR_EPS2;........if
53080 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
530a0 3d 3d 20 31 39 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 33 ==.195)...return.OPCODE_RSR_EPS3
530c0 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
530e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f get.(insn).==.196)...return.OPCO
53100 44 45 5f 52 53 52 5f 45 50 53 34 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 DE_RSR_EPS4;........if.(Field_sr
53120 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 37 29 0a 09 09 _Slot_inst_get.(insn).==.197)...
53140 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 35 3b 0a 09 20 20 20 20 20 20 69 return.OPCODE_RSR_EPS5;........i
53160 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
53180 20 3d 3d 20 31 39 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 .==.198)...return.OPCODE_RSR_EPS
531a0 36 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 6;........if.(Field_sr_Slot_inst
531c0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 _get.(insn).==.199)...return.OPC
531e0 4f 44 45 5f 52 53 52 5f 45 50 53 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 ODE_RSR_EPS7;........if.(Field_s
53200 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 30 38 29 0a 09 r_Slot_inst_get.(insn).==.208)..
53220 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 4f 4e 46 49 47 49 44 31 3b 0a 09 20 .return.OPCODE_RSR_CONFIGID1;...
53240 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
53260 28 69 6e 73 6e 29 20 3d 3d 20 32 30 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 (insn).==.209)...return.OPCODE_R
53280 53 52 5f 45 58 43 53 41 56 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 SR_EXCSAVE1;........if.(Field_sr
532a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 30 29 0a 09 09 _Slot_inst_get.(insn).==.210)...
532c0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 32 3b 0a 09 20 20 20 return.OPCODE_RSR_EXCSAVE2;.....
532e0 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
53300 6e 73 6e 29 20 3d 3d 20 32 31 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 nsn).==.211)...return.OPCODE_RSR
53320 5f 45 58 43 53 41 56 45 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _EXCSAVE3;........if.(Field_sr_S
53340 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 32 29 0a 09 09 72 65 lot_inst_get.(insn).==.212)...re
53360 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 34 3b 0a 09 20 20 20 20 20 turn.OPCODE_RSR_EXCSAVE4;.......
53380 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
533a0 6e 29 20 3d 3d 20 32 31 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 n).==.213)...return.OPCODE_RSR_E
533c0 58 43 53 41 56 45 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f XCSAVE5;........if.(Field_sr_Slo
533e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 34 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.214)...retu
53400 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 36 3b 0a 09 20 20 20 20 20 20 69 rn.OPCODE_RSR_EXCSAVE6;........i
53420 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
53440 20 3d 3d 20 32 31 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 .==.215)...return.OPCODE_RSR_EXC
53460 53 41 56 45 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f SAVE7;........if.(Field_sr_Slot_
53480 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 34 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.224)...return
534a0 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 50 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 .OPCODE_RSR_CPENABLE;........if.
534c0 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
534e0 3d 20 32 32 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 4e 54 45 52 =.226)...return.OPCODE_RSR_INTER
53500 52 55 50 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 RUPT;........if.(Field_sr_Slot_i
53520 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 38 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.228)...return.
53540 4f 50 43 4f 44 45 5f 52 53 52 5f 49 4e 54 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 OPCODE_RSR_INTENABLE;........if.
53560 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
53580 3d 20 32 33 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 50 53 3b 0a 09 =.230)...return.OPCODE_RSR_PS;..
535a0 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
535c0 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f .(insn).==.231)...return.OPCODE_
535e0 52 53 52 5f 56 45 43 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 RSR_VECBASE;........if.(Field_sr
53600 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 32 29 0a 09 09 _Slot_inst_get.(insn).==.232)...
53620 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 43 41 55 53 45 3b 0a 09 20 20 20 return.OPCODE_RSR_EXCCAUSE;.....
53640 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
53660 6e 73 6e 29 20 3d 3d 20 32 33 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 nsn).==.233)...return.OPCODE_RSR
53680 5f 44 45 42 55 47 43 41 55 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 _DEBUGCAUSE;........if.(Field_sr
536a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 34 29 0a 09 09 _Slot_inst_get.(insn).==.234)...
536c0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 return.OPCODE_RSR_CCOUNT;.......
536e0 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
53700 6e 29 20 3d 3d 20 32 33 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 50 n).==.235)...return.OPCODE_RSR_P
53720 52 49 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e RID;........if.(Field_sr_Slot_in
53740 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 36 29 0a 09 09 72 65 74 75 72 6e 20 4f st_get.(insn).==.236)...return.O
53760 50 43 4f 44 45 5f 52 53 52 5f 49 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 PCODE_RSR_ICOUNT;........if.(Fie
53780 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 ld_sr_Slot_inst_get.(insn).==.23
537a0 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 43 4f 55 4e 54 4c 45 56 7)...return.OPCODE_RSR_ICOUNTLEV
537c0 45 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 EL;........if.(Field_sr_Slot_ins
537e0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 t_get.(insn).==.238)...return.OP
53800 43 4f 44 45 5f 52 53 52 5f 45 58 43 56 41 44 44 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 CODE_RSR_EXCVADDR;........if.(Fi
53820 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 eld_sr_Slot_inst_get.(insn).==.2
53840 34 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 41 52 45 40)...return.OPCODE_RSR_CCOMPARE
53860 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 0;........if.(Field_sr_Slot_inst
53880 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 _get.(insn).==.241)...return.OPC
538a0 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 ODE_RSR_CCOMPARE1;........if.(Fi
538c0 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 eld_sr_Slot_inst_get.(insn).==.2
538e0 34 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 41 52 45 42)...return.OPCODE_RSR_CCOMPARE
53900 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 2;........if.(Field_sr_Slot_inst
53920 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 _get.(insn).==.244)...return.OPC
53940 4f 44 45 5f 52 53 52 5f 4d 49 53 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f ODE_RSR_MISC0;........if.(Field_
53960 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 35 29 0a sr_Slot_inst_get.(insn).==.245).
53980 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 49 53 43 31 3b 0a 09 20 20 20 20 ..return.OPCODE_RSR_MISC1;......
539a0 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 }....if.(Field_op2_Slot_inst_get
539c0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 .(insn).==.1)......{........if.(
539e0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
53a00 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 42 45 47 3b 0a 09 20 .0)...return.OPCODE_WSR_LBEG;...
53a20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
53a40 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 (insn).==.1)...return.OPCODE_WSR
53a60 5f 4c 45 4e 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f _LEND;........if.(Field_sr_Slot_
53a80 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f inst_get.(insn).==.2)...return.O
53aa0 50 43 4f 44 45 5f 57 53 52 5f 4c 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 PCODE_WSR_LCOUNT;........if.(Fie
53ac0 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 ld_sr_Slot_inst_get.(insn).==.3)
53ae0 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 53 41 52 3b 0a 09 20 20 20 20 20 ...return.OPCODE_WSR_SAR;.......
53b00 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
53b20 6e 29 20 3d 3d 20 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 49 54 n).==.5)...return.OPCODE_WSR_LIT
53b40 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 BASE;........if.(Field_sr_Slot_i
53b60 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 09 72 65 74 75 72 6e 20 4f nst_get.(insn).==.12)...return.O
53b80 50 43 4f 44 45 5f 57 53 52 5f 53 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 PCODE_WSR_SCOMPARE1;........if.(
53ba0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
53bc0 20 31 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 41 43 43 4c 4f 3b 0a .16)...return.OPCODE_WSR_ACCLO;.
53be0 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
53c00 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.17)...return.OPCODE_
53c20 57 53 52 5f 41 43 43 48 49 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 WSR_ACCHI;........if.(Field_sr_S
53c40 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 32 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.32)...ret
53c60 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 urn.OPCODE_WSR_M0;........if.(Fi
53c80 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 eld_sr_Slot_inst_get.(insn).==.3
53ca0 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 31 3b 0a 09 20 20 20 20 3)...return.OPCODE_WSR_M1;......
53cc0 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
53ce0 73 6e 29 20 3d 3d 20 33 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d sn).==.34)...return.OPCODE_WSR_M
53d00 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 2;........if.(Field_sr_Slot_inst
53d20 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f _get.(insn).==.35)...return.OPCO
53d40 44 45 5f 57 53 52 5f 4d 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 DE_WSR_M3;........if.(Field_sr_S
53d60 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 32 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.72)...ret
53d80 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 3b 0a 09 20 20 20 20 urn.OPCODE_WSR_WINDOWBASE;......
53da0 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
53dc0 73 6e 29 20 3d 3d 20 37 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 57 sn).==.73)...return.OPCODE_WSR_W
53de0 49 4e 44 4f 57 53 54 41 52 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f INDOWSTART;........if.(Field_sr_
53e00 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 33 29 0a 09 09 72 65 Slot_inst_get.(insn).==.83)...re
53e20 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 50 54 45 56 41 44 44 52 3b 0a 09 20 20 20 20 20 turn.OPCODE_WSR_PTEVADDR;.......
53e40 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
53e60 6e 29 20 3d 3d 20 38 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 4d n).==.89)...return.OPCODE_WSR_MM
53e80 49 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 ID;........if.(Field_sr_Slot_ins
53ea0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 t_get.(insn).==.90)...return.OPC
53ec0 4f 44 45 5f 57 53 52 5f 52 41 53 49 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f ODE_WSR_RASID;........if.(Field_
53ee0 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 31 29 0a 09 sr_Slot_inst_get.(insn).==.91)..
53f00 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 54 4c 42 43 46 47 3b 0a 09 20 20 20 .return.OPCODE_WSR_ITLBCFG;.....
53f20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
53f40 6e 73 6e 29 20 3d 3d 20 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f nsn).==.92)...return.OPCODE_WSR_
53f60 44 54 4c 42 43 46 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f DTLBCFG;........if.(Field_sr_Slo
53f80 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 36 29 0a 09 09 72 65 74 75 72 t_inst_get.(insn).==.96)...retur
53fa0 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 n.OPCODE_WSR_IBREAKENABLE;......
53fc0 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
53fe0 73 6e 29 20 3d 3d 20 39 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d sn).==.97)...return.OPCODE_WSR_M
54000 45 4d 43 54 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f EMCTL;........if.(Field_sr_Slot_
54020 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 39 29 0a 09 09 72 65 74 75 72 6e 20 inst_get.(insn).==.99)...return.
54040 4f 50 43 4f 44 45 5f 57 53 52 5f 41 54 4f 4d 43 54 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 OPCODE_WSR_ATOMCTL;........if.(F
54060 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
54080 31 30 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 44 52 3b 0a 09 20 104)...return.OPCODE_WSR_DDR;...
540a0 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
540c0 28 69 6e 73 6e 29 20 3d 3d 20 31 32 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 (insn).==.128)...return.OPCODE_W
540e0 53 52 5f 49 42 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 SR_IBREAKA0;........if.(Field_sr
54100 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 39 29 0a 09 09 _Slot_inst_get.(insn).==.129)...
54120 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 42 52 45 41 4b 41 31 3b 0a 09 20 20 20 return.OPCODE_WSR_IBREAKA1;.....
54140 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
54160 6e 73 6e 29 20 3d 3d 20 31 34 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 nsn).==.144)...return.OPCODE_WSR
54180 5f 44 42 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _DBREAKA0;........if.(Field_sr_S
541a0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 35 29 0a 09 09 72 65 lot_inst_get.(insn).==.145)...re
541c0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 42 52 45 41 4b 41 31 3b 0a 09 20 20 20 20 20 turn.OPCODE_WSR_DBREAKA1;.......
541e0 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
54200 6e 29 20 3d 3d 20 31 36 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 n).==.160)...return.OPCODE_WSR_D
54220 42 52 45 41 4b 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f BREAKC0;........if.(Field_sr_Slo
54240 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 36 31 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.161)...retu
54260 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 42 52 45 41 4b 43 31 3b 0a 09 20 20 20 20 20 20 69 rn.OPCODE_WSR_DBREAKC1;........i
54280 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
542a0 20 3d 3d 20 31 37 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 4f 4e .==.176)...return.OPCODE_WSR_CON
542c0 46 49 47 49 44 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 FIGID0;........if.(Field_sr_Slot
542e0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 37 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.177)...retur
54300 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 n.OPCODE_WSR_EPC1;........if.(Fi
54320 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 eld_sr_Slot_inst_get.(insn).==.1
54340 37 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 32 3b 0a 09 20 78)...return.OPCODE_WSR_EPC2;...
54360 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
54380 28 69 6e 73 6e 29 20 3d 3d 20 31 37 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 (insn).==.179)...return.OPCODE_W
543a0 53 52 5f 45 50 43 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f SR_EPC3;........if.(Field_sr_Slo
543c0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 30 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.180)...retu
543e0 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 34 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 rn.OPCODE_WSR_EPC4;........if.(F
54400 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
54420 31 38 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 35 3b 0a 09 181)...return.OPCODE_WSR_EPC5;..
54440 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
54460 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f .(insn).==.182)...return.OPCODE_
54480 57 53 52 5f 45 50 43 36 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c WSR_EPC6;........if.(Field_sr_Sl
544a0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 33 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.183)...ret
544c0 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 urn.OPCODE_WSR_EPC7;........if.(
544e0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
54500 20 31 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 45 50 43 3b 0a .192)...return.OPCODE_WSR_DEPC;.
54520 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
54540 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.194)...return.OPCODE
54560 5f 57 53 52 5f 45 50 53 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _WSR_EPS2;........if.(Field_sr_S
54580 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 35 29 0a 09 09 72 65 lot_inst_get.(insn).==.195)...re
545a0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 33 3b 0a 09 20 20 20 20 20 20 69 66 20 turn.OPCODE_WSR_EPS3;........if.
545c0 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
545e0 3d 20 31 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 34 3b =.196)...return.OPCODE_WSR_EPS4;
54600 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
54620 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 et.(insn).==.197)...return.OPCOD
54640 45 5f 57 53 52 5f 45 50 53 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f E_WSR_EPS5;........if.(Field_sr_
54660 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 38 29 0a 09 09 72 Slot_inst_get.(insn).==.198)...r
54680 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 36 3b 0a 09 20 20 20 20 20 20 69 66 eturn.OPCODE_WSR_EPS6;........if
546a0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
546c0 3d 3d 20 31 39 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 37 ==.199)...return.OPCODE_WSR_EPS7
546e0 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
54700 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 30 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f get.(insn).==.209)...return.OPCO
54720 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c DE_WSR_EXCSAVE1;........if.(Fiel
54740 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 30 d_sr_Slot_inst_get.(insn).==.210
54760 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 32 3b 0a )...return.OPCODE_WSR_EXCSAVE2;.
54780 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
547a0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.211)...return.OPCODE
547c0 5f 57 53 52 5f 45 58 43 53 41 56 45 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f _WSR_EXCSAVE3;........if.(Field_
547e0 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 32 29 0a sr_Slot_inst_get.(insn).==.212).
54800 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 34 3b 0a 09 20 ..return.OPCODE_WSR_EXCSAVE4;...
54820 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
54840 28 69 6e 73 6e 29 20 3d 3d 20 32 31 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 (insn).==.213)...return.OPCODE_W
54860 53 52 5f 45 58 43 53 41 56 45 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 SR_EXCSAVE5;........if.(Field_sr
54880 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 34 29 0a 09 09 _Slot_inst_get.(insn).==.214)...
548a0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 36 3b 0a 09 20 20 20 return.OPCODE_WSR_EXCSAVE6;.....
548c0 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
548e0 6e 73 6e 29 20 3d 3d 20 32 31 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 nsn).==.215)...return.OPCODE_WSR
54900 5f 45 58 43 53 41 56 45 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _EXCSAVE7;........if.(Field_sr_S
54920 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 34 29 0a 09 09 72 65 lot_inst_get.(insn).==.224)...re
54940 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 50 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 turn.OPCODE_WSR_CPENABLE;.......
54960 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
54980 6e 29 20 3d 3d 20 32 32 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 n).==.226)...return.OPCODE_WSR_I
549a0 4e 54 53 45 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f NTSET;........if.(Field_sr_Slot_
549c0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 37 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.227)...return
549e0 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 4e 54 43 4c 45 41 52 3b 0a 09 20 20 20 20 20 20 69 66 20 .OPCODE_WSR_INTCLEAR;........if.
54a00 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
54a20 3d 20 32 32 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 4e 54 45 4e =.228)...return.OPCODE_WSR_INTEN
54a40 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 ABLE;........if.(Field_sr_Slot_i
54a60 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 30 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.230)...return.
54a80 4f 50 43 4f 44 45 5f 57 53 52 5f 50 53 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f OPCODE_WSR_PS;........if.(Field_
54aa0 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a sr_Slot_inst_get.(insn).==.231).
54ac0 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 56 45 43 42 41 53 45 3b 0a 09 20 20 ..return.OPCODE_WSR_VECBASE;....
54ae0 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ....if.(Field_sr_Slot_inst_get.(
54b00 69 6e 73 6e 29 20 3d 3d 20 32 33 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 insn).==.232)...return.OPCODE_WS
54b20 52 5f 45 58 43 43 41 55 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f R_EXCCAUSE;........if.(Field_sr_
54b40 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 33 29 0a 09 09 72 Slot_inst_get.(insn).==.233)...r
54b60 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 45 42 55 47 43 41 55 53 45 3b 0a 09 20 20 eturn.OPCODE_WSR_DEBUGCAUSE;....
54b80 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ....if.(Field_sr_Slot_inst_get.(
54ba0 69 6e 73 6e 29 20 3d 3d 20 32 33 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 insn).==.234)...return.OPCODE_WS
54bc0 52 5f 43 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c R_CCOUNT;........if.(Field_sr_Sl
54be0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 36 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.236)...ret
54c00 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 urn.OPCODE_WSR_ICOUNT;........if
54c20 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
54c40 3d 3d 20 32 33 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 43 4f 55 ==.237)...return.OPCODE_WSR_ICOU
54c60 4e 54 4c 45 56 45 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f NTLEVEL;........if.(Field_sr_Slo
54c80 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 38 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.238)...retu
54ca0 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 56 41 44 44 52 3b 0a 09 20 20 20 20 20 20 69 rn.OPCODE_WSR_EXCVADDR;........i
54cc0 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
54ce0 20 3d 3d 20 32 34 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f .==.240)...return.OPCODE_WSR_CCO
54d00 4d 50 41 52 45 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 MPARE0;........if.(Field_sr_Slot
54d20 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 31 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.241)...retur
54d40 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 n.OPCODE_WSR_CCOMPARE1;........i
54d60 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
54d80 20 3d 3d 20 32 34 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f .==.242)...return.OPCODE_WSR_CCO
54da0 4d 50 41 52 45 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 MPARE2;........if.(Field_sr_Slot
54dc0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 34 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.244)...retur
54de0 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 49 53 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 n.OPCODE_WSR_MISC0;........if.(F
54e00 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
54e20 32 34 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 49 53 43 31 3b 0a 245)...return.OPCODE_WSR_MISC1;.
54e40 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 .....}....if.(Field_op2_Slot_ins
54e60 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.2)......return.O
54e80 50 43 4f 44 45 5f 53 45 58 54 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f PCODE_SEXT;....if.(Field_op2_Slo
54ea0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.3)......ret
54ec0 75 72 6e 20 4f 50 43 4f 44 45 5f 43 4c 41 4d 50 53 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f urn.OPCODE_CLAMPS;....if.(Field_
54ee0 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 op2_Slot_inst_get.(insn).==.4)..
54f00 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 49 4e 3b 0a 09 20 20 69 66 20 28 46 69 ....return.OPCODE_MIN;....if.(Fi
54f20 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op2_Slot_inst_get.(insn).==.
54f40 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 41 58 3b 0a 09 20 20 69 66 5)......return.OPCODE_MAX;....if
54f60 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op2_Slot_inst_get.(insn)
54f80 20 3d 3d 20 36 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 49 4e 55 3b 0a .==.6)......return.OPCODE_MINU;.
54fa0 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op2_Slot_inst_get.(
54fc0 69 6e 73 6e 29 20 3d 3d 20 37 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d insn).==.7)......return.OPCODE_M
54fe0 41 58 55 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f AXU;....if.(Field_op2_Slot_inst_
55000 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 get.(insn).==.8)......return.OPC
55020 4f 44 45 5f 4d 4f 56 45 51 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f ODE_MOVEQZ;....if.(Field_op2_Slo
55040 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.9)......ret
55060 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 4e 45 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f urn.OPCODE_MOVNEZ;....if.(Field_
55080 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 29 0a op2_Slot_inst_get.(insn).==.10).
550a0 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 4c 54 5a 3b 0a 09 20 20 69 66 .....return.OPCODE_MOVLTZ;....if
550c0 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op2_Slot_inst_get.(insn)
550e0 20 3d 3d 20 31 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 47 45 .==.11)......return.OPCODE_MOVGE
55100 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 Z;....if.(Field_op2_Slot_inst_ge
55120 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 t.(insn).==.14)......{........if
55140 20 28 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_st_Slot_inst_get.(insn).
55160 3d 3d 20 32 33 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 55 52 5f 45 58 50 53 ==.230)...return.OPCODE_RUR_EXPS
55180 54 41 54 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 TATE;........if.(Field_st_Slot_i
551a0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.231)...return.
551c0 4f 50 43 4f 44 45 5f 52 55 52 5f 54 48 52 45 41 44 50 54 52 3b 0a 09 20 20 20 20 7d 0a 09 20 20 OPCODE_RUR_THREADPTR;......}....
551e0 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op2_Slot_inst_get.(ins
55200 6e 29 20 3d 3d 20 31 35 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c n).==.15)......{........if.(Fiel
55220 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 30 d_sr_Slot_inst_get.(insn).==.230
55240 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 55 52 5f 45 58 50 53 54 41 54 45 3b 0a )...return.OPCODE_WUR_EXPSTATE;.
55260 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
55280 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.231)...return.OPCODE
552a0 5f 57 55 52 5f 54 48 52 45 41 44 50 54 52 3b 0a 09 20 20 20 20 7d 0a 09 7d 0a 20 20 20 20 20 20 _WUR_THREADPTR;......}..}.......
552c0 69 66 20 28 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e if.((Field_op1_Slot_inst_get.(in
552e0 73 6e 29 20 3d 3d 20 34 20 7c 7c 0a 09 20 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 sn).==.4.||.....Field_op1_Slot_i
55300 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 29 0a 09 72 65 74 75 72 6e 20 4f 50 nst_get.(insn).==.5))..return.OP
55320 43 4f 44 45 5f 45 58 54 55 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f CODE_EXTUI;.......if.(Field_op1_
55340 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 7b 0a 09 20 Slot_inst_get.(insn).==.9)..{...
55360 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op2_Slot_inst_get.(in
55380 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 33 32 sn).==.0)......return.OPCODE_L32
553a0 45 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 E;....if.(Field_op2_Slot_inst_ge
553c0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 t.(insn).==.4)......return.OPCOD
553e0 45 5f 53 33 32 45 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e E_S32E;....if.(Field_op2_Slot_in
55400 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.5)......return.
55420 4f 50 43 4f 44 45 5f 53 33 32 4e 42 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 OPCODE_S32NB;..}.......if.(Field
55440 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a _r_Slot_inst_get.(insn).==.0.&&.
55460 09 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 ...Field_s_Slot_inst_get.(insn).
55480 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ==.0.&&....Field_op2_Slot_inst_g
554a0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 et.(insn).==.0.&&....Field_op1_S
554c0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 72 65 74 75 lot_inst_get.(insn).==.14)..retu
554e0 72 6e 20 4f 50 43 4f 44 45 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 3b 0a 20 20 20 20 20 20 69 66 rn.OPCODE_READ_IMPWIRE;.......if
55500 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .(Field_r_Slot_inst_get.(insn).=
55520 3d 20 31 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.1.&&....Field_s3to1_Slot_inst_
55540 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 32 5f get.(insn).==.0.&&....Field_op2_
55560 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
55580 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_op1_Slot_inst_get.(insn).=
555a0 3d 20 31 34 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 45 54 42 5f 45 58 50 53 54 41 =.14)..return.OPCODE_SETB_EXPSTA
555c0 54 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f TE;.......if.(Field_r_Slot_inst_
555e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 73 33 74 6f get.(insn).==.1.&&....Field_s3to
55600 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 1_Slot_inst_get.(insn).==.1.&&..
55620 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ..Field_op2_Slot_inst_get.(insn)
55640 20 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f .==.0.&&....Field_op1_Slot_inst_
55660 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 get.(insn).==.14)..return.OPCODE
55680 5f 43 4c 52 42 5f 45 58 50 53 54 41 54 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f _CLRB_EXPSTATE;.......if.(Field_
556a0 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 20 26 26 0a 09 r_Slot_inst_get.(insn).==.2.&&..
556c0 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ..Field_op2_Slot_inst_get.(insn)
556e0 20 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f .==.0.&&....Field_op1_Slot_inst_
55700 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 get.(insn).==.14)..return.OPCODE
55720 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 3b 0a 20 20 20 20 7d 0a 20 20 69 66 20 28 46 69 65 _WRMSK_EXPSTATE;.....}...if.(Fie
55740 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 ld_op0_Slot_inst_get.(insn).==.1
55760 29 0a 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 33 32 52 3b 0a 20 20 69 66 20 28 ).....return.OPCODE_L32R;...if.(
55780 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_op0_Slot_inst_get.(insn).=
557a0 3d 20 32 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f =.2).....{.......if.(Field_r_Slo
557c0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 72 65 74 75 72 6e 20 t_inst_get.(insn).==.0)..return.
557e0 4f 50 43 4f 44 45 5f 4c 38 55 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 OPCODE_L8UI;.......if.(Field_r_S
55800 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 72 65 74 75 72 lot_inst_get.(insn).==.1)..retur
55820 6e 20 4f 50 43 4f 44 45 5f 4c 31 36 55 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f n.OPCODE_L16UI;.......if.(Field_
55840 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 72 65 r_Slot_inst_get.(insn).==.2)..re
55860 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 33 32 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c turn.OPCODE_L32I;.......if.(Fiel
55880 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 d_r_Slot_inst_get.(insn).==.4)..
558a0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 38 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 return.OPCODE_S8I;.......if.(Fie
558c0 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a ld_r_Slot_inst_get.(insn).==.5).
558e0 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 31 36 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 .return.OPCODE_S16I;.......if.(F
55900 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 ield_r_Slot_inst_get.(insn).==.6
55920 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 33 32 49 3b 0a 20 20 20 20 20 20 69 66 20 )..return.OPCODE_S32I;.......if.
55940 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_r_Slot_inst_get.(insn).==
55960 20 37 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f .7)..{....if.(Field_t_Slot_inst_
55980 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 get.(insn).==.0)......return.OPC
559a0 4f 44 45 5f 44 50 46 52 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e ODE_DPFR;....if.(Field_t_Slot_in
559c0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.1)......return.
559e0 4f 50 43 4f 44 45 5f 44 50 46 57 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 OPCODE_DPFW;....if.(Field_t_Slot
55a00 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 _inst_get.(insn).==.2)......retu
55a20 72 6e 20 4f 50 43 4f 44 45 5f 44 50 46 52 4f 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f rn.OPCODE_DPFRO;....if.(Field_t_
55a40 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.3)......
55a60 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 50 46 57 4f 3b 0a 09 20 20 69 66 20 28 46 69 65 6c return.OPCODE_DPFWO;....if.(Fiel
55a80 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 d_t_Slot_inst_get.(insn).==.4)..
55aa0 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 48 57 42 3b 0a 09 20 20 69 66 20 28 46 ....return.OPCODE_DHWB;....if.(F
55ac0 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 ield_t_Slot_inst_get.(insn).==.5
55ae0 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 48 57 42 49 3b 0a 09 20 20 69 )......return.OPCODE_DHWBI;....i
55b00 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_t_Slot_inst_get.(insn).
55b20 3d 3d 20 36 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 48 49 3b 0a 09 20 ==.6)......return.OPCODE_DHI;...
55b40 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_t_Slot_inst_get.(insn
55b60 29 20 3d 3d 20 37 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 49 49 3b 0a ).==.7)......return.OPCODE_DII;.
55b80 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ...if.(Field_t_Slot_inst_get.(in
55ba0 73 6e 29 20 3d 3d 20 38 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c sn).==.8)......{........if.(Fiel
55bc0 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 d_op1_Slot_inst_get.(insn).==.0)
55be0 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 50 46 4c 3b 0a 09 20 20 20 20 20 20 69 66 ...return.OPCODE_DPFL;........if
55c00 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op1_Slot_inst_get.(insn)
55c20 20 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 48 55 3b 0a 09 20 20 20 .==.2)...return.OPCODE_DHU;.....
55c40 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op1_Slot_inst_get.(
55c60 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 49 55 3b insn).==.3)...return.OPCODE_DIU;
55c80 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f ........if.(Field_op1_Slot_inst_
55ca0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 get.(insn).==.4)...return.OPCODE
55cc0 5f 44 49 57 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 _DIWB;........if.(Field_op1_Slot
55ce0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 09 72 65 74 75 72 6e 20 _inst_get.(insn).==.5)...return.
55d00 4f 50 43 4f 44 45 5f 44 49 57 42 49 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f OPCODE_DIWBI;........if.(Field_o
55d20 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 20 26 26 p1_Slot_inst_get.(insn).==.15.&&
55d40 0a 09 09 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .....Field_op2_Slot_inst_get.(in
55d60 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 49 57 42 55 49 sn).==.0)...return.OPCODE_DIWBUI
55d80 5f 50 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 _P;......}....if.(Field_t_Slot_i
55da0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 20 20 20 20 72 65 74 75 72 nst_get.(insn).==.12)......retur
55dc0 6e 20 4f 50 43 4f 44 45 5f 49 50 46 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f n.OPCODE_IPF;....if.(Field_t_Slo
55de0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 09 20 20 20 20 7b 0a t_inst_get.(insn).==.13)......{.
55e00 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .......if.(Field_op1_Slot_inst_g
55e20 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f et.(insn).==.0)...return.OPCODE_
55e40 49 50 46 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f IPFL;........if.(Field_op1_Slot_
55e60 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f inst_get.(insn).==.2)...return.O
55e80 50 43 4f 44 45 5f 49 48 55 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f PCODE_IHU;........if.(Field_op1_
55ea0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 72 65 74 Slot_inst_get.(insn).==.3)...ret
55ec0 75 72 6e 20 4f 50 43 4f 44 45 5f 49 49 55 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 urn.OPCODE_IIU;......}....if.(Fi
55ee0 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 eld_t_Slot_inst_get.(insn).==.14
55f00 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 49 48 49 3b 0a 09 20 20 69 66 20 )......return.OPCODE_IHI;....if.
55f20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_t_Slot_inst_get.(insn).==
55f40 20 31 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 49 49 49 3b 0a 09 7d 0a .15)......return.OPCODE_III;..}.
55f60 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ......if.(Field_r_Slot_inst_get.
55f80 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 31 36 53 (insn).==.9)..return.OPCODE_L16S
55fa0 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 I;.......if.(Field_r_Slot_inst_g
55fc0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f et.(insn).==.10)..return.OPCODE_
55fe0 4d 4f 56 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 MOVI;.......if.(Field_r_Slot_ins
56000 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f t_get.(insn).==.11)..return.OPCO
56020 44 45 5f 4c 33 32 41 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 DE_L32AI;.......if.(Field_r_Slot
56040 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 72 65 74 75 72 6e 20 _inst_get.(insn).==.12)..return.
56060 4f 50 43 4f 44 45 5f 41 44 44 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 OPCODE_ADDI;.......if.(Field_r_S
56080 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 09 72 65 74 75 lot_inst_get.(insn).==.13)..retu
560a0 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 4d 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 rn.OPCODE_ADDMI;.......if.(Field
560c0 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 _r_Slot_inst_get.(insn).==.14)..
560e0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 33 32 43 31 49 3b 0a 20 20 20 20 20 20 69 66 20 28 return.OPCODE_S32C1I;.......if.(
56100 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
56120 31 35 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 33 32 52 49 3b 0a 20 20 20 20 7d 0a 15)..return.OPCODE_S32RI;.....}.
56140 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op0_Slot_inst_get.(i
56160 6e 73 6e 29 20 3d 3d 20 34 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 nsn).==.4).....{.......if.(Field
56180 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a _op2_Slot_inst_get.(insn).==.0).
561a0 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .{....if.(Field_op1_Slot_inst_ge
561c0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 t.(insn).==.8.&&........Field_t3
561e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.0.&&...
56200 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .....Field_tlo_Slot_inst_get.(in
56220 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 sn).==.0.&&........Field_r3_Slot
56240 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 _inst_get.(insn).==.0)......retu
56260 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 4c 5f 4c 44 49 4e 43 3b 0a 09 20 20 69 rn.OPCODE_MULA_DD_LL_LDINC;....i
56280 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e f.(Field_op1_Slot_inst_get.(insn
562a0 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 ).==.9.&&........Field_t3_Slot_i
562c0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
562e0 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_tlo_Slot_inst_get.(insn).==.
56300 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 0.&&........Field_r3_Slot_inst_g
56320 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
56340 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 5f 4c 44 49 4e 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c DE_MULA_DD_HL_LDINC;....if.(Fiel
56360 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 d_op1_Slot_inst_get.(insn).==.10
56380 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .&&........Field_t3_Slot_inst_ge
563a0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c t.(insn).==.0.&&........Field_tl
563c0 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 o_Slot_inst_get.(insn).==.0.&&..
563e0 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_r3_Slot_inst_get.(in
56400 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c sn).==.0)......return.OPCODE_MUL
56420 41 5f 44 44 5f 4c 48 5f 4c 44 49 4e 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f A_DD_LH_LDINC;....if.(Field_op1_
56440 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 Slot_inst_get.(insn).==.11.&&...
56460 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .....Field_t3_Slot_inst_get.(ins
56480 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 n).==.0.&&........Field_tlo_Slot
564a0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
564c0 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_r3_Slot_inst_get.(insn).==
564e0 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 .0)......return.OPCODE_MULA_DD_H
56500 48 5f 4c 44 49 4e 43 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f H_LDINC;..}.......if.(Field_op2_
56520 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 7b 0a 09 20 Slot_inst_get.(insn).==.1)..{...
56540 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op1_Slot_inst_get.(in
56560 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 sn).==.8.&&........Field_t3_Slot
56580 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
565a0 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_tlo_Slot_inst_get.(insn).=
565c0 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 =.0.&&........Field_r3_Slot_inst
565e0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.0)......return.OP
56600 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 4c 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 CODE_MULA_DD_LL_LDDEC;....if.(Fi
56620 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op1_Slot_inst_get.(insn).==.
56640 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 9.&&........Field_t3_Slot_inst_g
56660 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 et.(insn).==.0.&&........Field_t
56680 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a lo_Slot_inst_get.(insn).==.0.&&.
566a0 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 .......Field_r3_Slot_inst_get.(i
566c0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
566e0 4c 41 5f 44 44 5f 48 4c 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 LA_DD_HL_LDDEC;....if.(Field_op1
56700 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 _Slot_inst_get.(insn).==.10.&&..
56720 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_t3_Slot_inst_get.(in
56740 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f sn).==.0.&&........Field_tlo_Slo
56760 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.0.&&.......
56780 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .Field_r3_Slot_inst_get.(insn).=
567a0 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f =.0)......return.OPCODE_MULA_DD_
567c0 4c 48 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f LH_LDDEC;....if.(Field_op1_Slot_
567e0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 20 20 20 inst_get.(insn).==.11.&&........
56800 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_t3_Slot_inst_get.(insn).==
56820 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 .0.&&........Field_tlo_Slot_inst
56840 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.0.&&........Field
56860 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 _r3_Slot_inst_get.(insn).==.0)..
56880 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 48 5f 4c 44 44 ....return.OPCODE_MULA_DD_HH_LDD
568a0 45 43 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f EC;..}.......if.(Field_op2_Slot_
568c0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 7b 0a 09 20 20 69 66 20 28 inst_get.(insn).==.2)..{....if.(
568e0 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_op1_Slot_inst_get.(insn).=
56900 3d 20 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.4.&&........Field_s_Slot_inst_
56920 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f get.(insn).==.0.&&........Field_
56940 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 w_Slot_inst_get.(insn).==.0.&&..
56960 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_r3_Slot_inst_get.(in
56980 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 sn).==.0.&&........Field_t3_Slot
569a0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
569c0 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_tlo_Slot_inst_get.(insn).=
569e0 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 4c =.0)......return.OPCODE_MUL_DD_L
56a00 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 L;....if.(Field_op1_Slot_inst_ge
56a20 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f t.(insn).==.5.&&........Field_s_
56a40 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
56a60 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ....Field_w_Slot_inst_get.(insn)
56a80 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e .==.0.&&........Field_r3_Slot_in
56aa0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
56ac0 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 ld_t3_Slot_inst_get.(insn).==.0.
56ae0 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 &&........Field_tlo_Slot_inst_ge
56b00 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 t.(insn).==.0)......return.OPCOD
56b20 45 5f 4d 55 4c 5f 44 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c E_MUL_DD_HL;....if.(Field_op1_Sl
56b40 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.6.&&......
56b60 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d ..Field_s_Slot_inst_get.(insn).=
56b80 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.0.&&........Field_w_Slot_inst_
56ba0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f get.(insn).==.0.&&........Field_
56bc0 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a r3_Slot_inst_get.(insn).==.0.&&.
56be0 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 .......Field_t3_Slot_inst_get.(i
56c00 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c nsn).==.0.&&........Field_tlo_Sl
56c20 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 ot_inst_get.(insn).==.0)......re
56c40 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 turn.OPCODE_MUL_DD_LH;....if.(Fi
56c60 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op1_Slot_inst_get.(insn).==.
56c80 37 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 7.&&........Field_s_Slot_inst_ge
56ca0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f t.(insn).==.0.&&........Field_w_
56cc0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
56ce0 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ....Field_r3_Slot_inst_get.(insn
56d00 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 ).==.0.&&........Field_t3_Slot_i
56d20 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
56d40 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_tlo_Slot_inst_get.(insn).==.
56d60 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 48 48 3b 0)......return.OPCODE_MUL_DD_HH;
56d80 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
56da0 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c (insn).==.8.&&........Field_s_Sl
56dc0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.0.&&......
56de0 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d ..Field_w_Slot_inst_get.(insn).=
56e00 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 =.0.&&........Field_r3_Slot_inst
56e20 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.0.&&........Field
56e40 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 _t3_Slot_inst_get.(insn).==.0.&&
56e60 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ........Field_tlo_Slot_inst_get.
56e80 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f (insn).==.0)......return.OPCODE_
56ea0 4d 55 4c 41 5f 44 44 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f MULA_DD_LL;....if.(Field_op1_Slo
56ec0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.9.&&.......
56ee0 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d .Field_s_Slot_inst_get.(insn).==
56f00 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .0.&&........Field_w_Slot_inst_g
56f20 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 et.(insn).==.0.&&........Field_r
56f40 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 3_Slot_inst_get.(insn).==.0.&&..
56f60 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_t3_Slot_inst_get.(in
56f80 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f sn).==.0.&&........Field_tlo_Slo
56fa0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.0)......ret
56fc0 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 urn.OPCODE_MULA_DD_HL;....if.(Fi
56fe0 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op1_Slot_inst_get.(insn).==.
57000 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 10.&&........Field_s_Slot_inst_g
57020 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 et.(insn).==.0.&&........Field_w
57040 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.0.&&...
57060 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .....Field_r3_Slot_inst_get.(ins
57080 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f n).==.0.&&........Field_t3_Slot_
570a0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 inst_get.(insn).==.0.&&........F
570c0 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_tlo_Slot_inst_get.(insn).==
570e0 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c .0)......return.OPCODE_MULA_DD_L
57100 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 H;....if.(Field_op1_Slot_inst_ge
57120 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 t.(insn).==.11.&&........Field_s
57140 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.0.&&...
57160 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_w_Slot_inst_get.(insn
57180 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 ).==.0.&&........Field_r3_Slot_i
571a0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
571c0 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 eld_t3_Slot_inst_get.(insn).==.0
571e0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .&&........Field_tlo_Slot_inst_g
57200 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
57220 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f DE_MULA_DD_HH;....if.(Field_op1_
57240 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 20 Slot_inst_get.(insn).==.12.&&...
57260 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_s_Slot_inst_get.(insn
57280 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e ).==.0.&&........Field_w_Slot_in
572a0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
572c0 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 ld_r3_Slot_inst_get.(insn).==.0.
572e0 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 &&........Field_t3_Slot_inst_get
57300 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f .(insn).==.0.&&........Field_tlo
57320 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.0).....
57340 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 4c 4c 3b 0a 09 20 20 69 66 .return.OPCODE_MULS_DD_LL;....if
57360 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op1_Slot_inst_get.(insn)
57380 20 3d 3d 20 31 33 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e .==.13.&&........Field_s_Slot_in
573a0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
573c0 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 ld_w_Slot_inst_get.(insn).==.0.&
573e0 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 &........Field_r3_Slot_inst_get.
57400 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 (insn).==.0.&&........Field_t3_S
57420 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 lot_inst_get.(insn).==.0.&&.....
57440 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ...Field_tlo_Slot_inst_get.(insn
57460 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f ).==.0)......return.OPCODE_MULS_
57480 44 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 DD_HL;....if.(Field_op1_Slot_ins
574a0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 t_get.(insn).==.14.&&........Fie
574c0 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 ld_s_Slot_inst_get.(insn).==.0.&
574e0 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_w_Slot_inst_get.(
57500 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c insn).==.0.&&........Field_r3_Sl
57520 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.0.&&......
57540 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 ..Field_t3_Slot_inst_get.(insn).
57560 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e ==.0.&&........Field_tlo_Slot_in
57580 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.0)......return.
575a0 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f OPCODE_MULS_DD_LH;....if.(Field_
575c0 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 20 26 op1_Slot_inst_get.(insn).==.15.&
575e0 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_s_Slot_inst_get.(
57600 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f insn).==.0.&&........Field_w_Slo
57620 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.0.&&.......
57640 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .Field_r3_Slot_inst_get.(insn).=
57660 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 =.0.&&........Field_t3_Slot_inst
57680 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.0.&&........Field
576a0 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a _tlo_Slot_inst_get.(insn).==.0).
576c0 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 48 48 3b 0a 09 .....return.OPCODE_MULS_DD_HH;..
576e0 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f }.......if.(Field_op2_Slot_inst_
57700 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 get.(insn).==.3)..{....if.(Field
57720 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 20 26 _op1_Slot_inst_get.(insn).==.4.&
57740 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_r_Slot_inst_get.(
57760 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c insn).==.0.&&........Field_t3_Sl
57780 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.0.&&......
577a0 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ..Field_tlo_Slot_inst_get.(insn)
577c0 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 .==.0)......return.OPCODE_MUL_AD
577e0 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f _LL;....if.(Field_op1_Slot_inst_
57800 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f get.(insn).==.5.&&........Field_
57820 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 r_Slot_inst_get.(insn).==.0.&&..
57840 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_t3_Slot_inst_get.(in
57860 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f sn).==.0.&&........Field_tlo_Slo
57880 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.0)......ret
578a0 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 urn.OPCODE_MUL_AD_HL;....if.(Fie
578c0 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 ld_op1_Slot_inst_get.(insn).==.6
578e0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .&&........Field_r_Slot_inst_get
57900 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f .(insn).==.0.&&........Field_t3_
57920 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
57940 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ....Field_tlo_Slot_inst_get.(ins
57960 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f n).==.0)......return.OPCODE_MUL_
57980 41 44 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 AD_LH;....if.(Field_op1_Slot_ins
579a0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c t_get.(insn).==.7.&&........Fiel
579c0 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 d_r_Slot_inst_get.(insn).==.0.&&
579e0 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ........Field_t3_Slot_inst_get.(
57a00 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 insn).==.0.&&........Field_tlo_S
57a20 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 lot_inst_get.(insn).==.0)......r
57a40 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 eturn.OPCODE_MUL_AD_HH;....if.(F
57a60 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_op1_Slot_inst_get.(insn).==
57a80 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .8.&&........Field_r_Slot_inst_g
57aa0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 et.(insn).==.0.&&........Field_t
57ac0 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 3_Slot_inst_get.(insn).==.0.&&..
57ae0 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ......Field_tlo_Slot_inst_get.(i
57b00 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
57b20 4c 41 5f 41 44 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f LA_AD_LL;....if.(Field_op1_Slot_
57b40 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 inst_get.(insn).==.9.&&........F
57b60 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 ield_r_Slot_inst_get.(insn).==.0
57b80 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .&&........Field_t3_Slot_inst_ge
57ba0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c t.(insn).==.0.&&........Field_tl
57bc0 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 o_Slot_inst_get.(insn).==.0)....
57be0 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 48 4c 3b 0a 09 20 20 69 ..return.OPCODE_MULA_AD_HL;....i
57c00 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e f.(Field_op1_Slot_inst_get.(insn
57c20 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 ).==.10.&&........Field_r_Slot_i
57c40 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
57c60 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 eld_t3_Slot_inst_get.(insn).==.0
57c80 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .&&........Field_tlo_Slot_inst_g
57ca0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
57cc0 44 45 5f 4d 55 4c 41 5f 41 44 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f DE_MULA_AD_LH;....if.(Field_op1_
57ce0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 Slot_inst_get.(insn).==.11.&&...
57d00 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_r_Slot_inst_get.(insn
57d20 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 ).==.0.&&........Field_t3_Slot_i
57d40 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
57d60 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_tlo_Slot_inst_get.(insn).==.
57d80 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 48 48 0)......return.OPCODE_MULA_AD_HH
57da0 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ;....if.(Field_op1_Slot_inst_get
57dc0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f .(insn).==.12.&&........Field_r_
57de0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
57e00 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ....Field_t3_Slot_inst_get.(insn
57e20 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f ).==.0.&&........Field_tlo_Slot_
57e40 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 inst_get.(insn).==.0)......retur
57e60 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 44 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c n.OPCODE_MULS_AD_LL;....if.(Fiel
57e80 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 d_op1_Slot_inst_get.(insn).==.13
57ea0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .&&........Field_r_Slot_inst_get
57ec0 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f .(insn).==.0.&&........Field_t3_
57ee0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
57f00 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ....Field_tlo_Slot_inst_get.(ins
57f20 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 n).==.0)......return.OPCODE_MULS
57f40 5f 41 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e _AD_HL;....if.(Field_op1_Slot_in
57f60 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 st_get.(insn).==.14.&&........Fi
57f80 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 eld_r_Slot_inst_get.(insn).==.0.
57fa0 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 &&........Field_t3_Slot_inst_get
57fc0 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f .(insn).==.0.&&........Field_tlo
57fe0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.0).....
58000 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 44 5f 4c 48 3b 0a 09 20 20 69 66 .return.OPCODE_MULS_AD_LH;....if
58020 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op1_Slot_inst_get.(insn)
58040 20 3d 3d 20 31 35 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e .==.15.&&........Field_r_Slot_in
58060 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
58080 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 ld_t3_Slot_inst_get.(insn).==.0.
580a0 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 &&........Field_tlo_Slot_inst_ge
580c0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 t.(insn).==.0)......return.OPCOD
580e0 45 5f 4d 55 4c 53 5f 41 44 5f 48 48 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 E_MULS_AD_HH;..}.......if.(Field
58100 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a _op2_Slot_inst_get.(insn).==.4).
58120 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .{....if.(Field_op1_Slot_inst_ge
58140 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 t.(insn).==.8.&&........Field_r3
58160 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.0).....
58180 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 4c 5f 4c 44 49 4e 43 3b .return.OPCODE_MULA_DA_LL_LDINC;
581a0 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
581c0 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 (insn).==.9.&&........Field_r3_S
581e0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 lot_inst_get.(insn).==.0)......r
58200 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 4c 5f 4c 44 49 4e 43 3b 0a 09 eturn.OPCODE_MULA_DA_HL_LDINC;..
58220 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op1_Slot_inst_get.(i
58240 6e 73 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c nsn).==.10.&&........Field_r3_Sl
58260 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 ot_inst_get.(insn).==.0)......re
58280 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 48 5f 4c 44 49 4e 43 3b 0a 09 20 turn.OPCODE_MULA_DA_LH_LDINC;...
582a0 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op1_Slot_inst_get.(in
582c0 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f sn).==.11.&&........Field_r3_Slo
582e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.0)......ret
58300 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 48 5f 4c 44 49 4e 43 3b 0a 09 7d 0a urn.OPCODE_MULA_DA_HH_LDINC;..}.
58320 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 ......if.(Field_op2_Slot_inst_ge
58340 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f t.(insn).==.5)..{....if.(Field_o
58360 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a p1_Slot_inst_get.(insn).==.8.&&.
58380 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 .......Field_r3_Slot_inst_get.(i
583a0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
583c0 4c 41 5f 44 41 5f 4c 4c 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 LA_DA_LL_LDDEC;....if.(Field_op1
583e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.9.&&...
58400 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .....Field_r3_Slot_inst_get.(ins
58420 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 n).==.0)......return.OPCODE_MULA
58440 5f 44 41 5f 48 4c 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 _DA_HL_LDDEC;....if.(Field_op1_S
58460 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 lot_inst_get.(insn).==.10.&&....
58480 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ....Field_r3_Slot_inst_get.(insn
584a0 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f ).==.0)......return.OPCODE_MULA_
584c0 44 41 5f 4c 48 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c DA_LH_LDDEC;....if.(Field_op1_Sl
584e0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 ot_inst_get.(insn).==.11.&&.....
58500 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ...Field_r3_Slot_inst_get.(insn)
58520 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 .==.0)......return.OPCODE_MULA_D
58540 41 5f 48 48 5f 4c 44 44 45 43 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f A_HH_LDDEC;..}.......if.(Field_o
58560 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 09 7b p2_Slot_inst_get.(insn).==.6)..{
58580 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
585a0 28 69 6e 73 6e 29 20 3d 3d 20 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c (insn).==.4.&&........Field_s_Sl
585c0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.0.&&......
585e0 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d ..Field_w_Slot_inst_get.(insn).=
58600 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 =.0.&&........Field_r3_Slot_inst
58620 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.0)......return.OP
58640 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 CODE_MUL_DA_LL;....if.(Field_op1
58660 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.5.&&...
58680 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_s_Slot_inst_get.(insn
586a0 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e ).==.0.&&........Field_w_Slot_in
586c0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
586e0 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 ld_r3_Slot_inst_get.(insn).==.0)
58700 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 48 4c 3b 0a 09 ......return.OPCODE_MUL_DA_HL;..
58720 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op1_Slot_inst_get.(i
58740 6e 73 6e 29 20 3d 3d 20 36 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 nsn).==.6.&&........Field_s_Slot
58760 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
58780 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_w_Slot_inst_get.(insn).==.
587a0 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 0.&&........Field_r3_Slot_inst_g
587c0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
587e0 44 45 5f 4d 55 4c 5f 44 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 DE_MUL_DA_LH;....if.(Field_op1_S
58800 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 20 26 26 0a 09 20 20 20 lot_inst_get.(insn).==.7.&&.....
58820 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 ...Field_s_Slot_inst_get.(insn).
58840 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 ==.0.&&........Field_w_Slot_inst
58860 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.0.&&........Field
58880 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 _r3_Slot_inst_get.(insn).==.0)..
588a0 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 48 48 3b 0a 09 20 20 ....return.OPCODE_MUL_DA_HH;....
588c0 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op1_Slot_inst_get.(ins
588e0 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 n).==.8.&&........Field_s_Slot_i
58900 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
58920 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 eld_w_Slot_inst_get.(insn).==.0.
58940 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 &&........Field_r3_Slot_inst_get
58960 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 .(insn).==.0)......return.OPCODE
58980 5f 4d 55 4c 41 5f 44 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c _MULA_DA_LL;....if.(Field_op1_Sl
589a0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.9.&&......
589c0 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d ..Field_s_Slot_inst_get.(insn).=
589e0 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.0.&&........Field_w_Slot_inst_
58a00 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f get.(insn).==.0.&&........Field_
58a20 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 r3_Slot_inst_get.(insn).==.0)...
58a40 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 4c 3b 0a 09 20 20 ...return.OPCODE_MULA_DA_HL;....
58a60 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op1_Slot_inst_get.(ins
58a80 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f n).==.10.&&........Field_s_Slot_
58aa0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 inst_get.(insn).==.0.&&........F
58ac0 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 ield_w_Slot_inst_get.(insn).==.0
58ae0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .&&........Field_r3_Slot_inst_ge
58b00 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 t.(insn).==.0)......return.OPCOD
58b20 45 5f 4d 55 4c 41 5f 44 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 E_MULA_DA_LH;....if.(Field_op1_S
58b40 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 lot_inst_get.(insn).==.11.&&....
58b60 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ....Field_s_Slot_inst_get.(insn)
58b80 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 .==.0.&&........Field_w_Slot_ins
58ba0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c t_get.(insn).==.0.&&........Fiel
58bc0 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a d_r3_Slot_inst_get.(insn).==.0).
58be0 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 48 3b 0a 09 .....return.OPCODE_MULA_DA_HH;..
58c00 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op1_Slot_inst_get.(i
58c20 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f nsn).==.12.&&........Field_s_Slo
58c40 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.0.&&.......
58c60 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d .Field_w_Slot_inst_get.(insn).==
58c80 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f .0.&&........Field_r3_Slot_inst_
58ca0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 get.(insn).==.0)......return.OPC
58cc0 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 ODE_MULS_DA_LL;....if.(Field_op1
58ce0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 20 26 26 0a 09 _Slot_inst_get.(insn).==.13.&&..
58d00 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ......Field_s_Slot_inst_get.(ins
58d20 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 n).==.0.&&........Field_w_Slot_i
58d40 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
58d60 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 eld_r3_Slot_inst_get.(insn).==.0
58d80 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 48 4c 3b )......return.OPCODE_MULS_DA_HL;
58da0 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
58dc0 28 69 6e 73 6e 29 20 3d 3d 20 31 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 (insn).==.14.&&........Field_s_S
58de0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 lot_inst_get.(insn).==.0.&&.....
58e00 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 ...Field_w_Slot_inst_get.(insn).
58e20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 ==.0.&&........Field_r3_Slot_ins
58e40 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.0)......return.O
58e60 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f PCODE_MULS_DA_LH;....if.(Field_o
58e80 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 20 26 26 p1_Slot_inst_get.(insn).==.15.&&
58ea0 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ........Field_s_Slot_inst_get.(i
58ec0 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 nsn).==.0.&&........Field_w_Slot
58ee0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
58f00 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_r3_Slot_inst_get.(insn).==
58f20 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 48 .0)......return.OPCODE_MULS_DA_H
58f40 48 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 H;..}.......if.(Field_op2_Slot_i
58f60 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 nst_get.(insn).==.7)..{....if.(F
58f80 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_op1_Slot_inst_get.(insn).==
58fa0 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .0.&&........Field_r_Slot_inst_g
58fc0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
58fe0 44 45 5f 55 4d 55 4c 5f 41 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f DE_UMUL_AA_LL;....if.(Field_op1_
59000 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.1.&&....
59020 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ....Field_r_Slot_inst_get.(insn)
59040 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 .==.0)......return.OPCODE_UMUL_A
59060 41 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 A_HL;....if.(Field_op1_Slot_inst
59080 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.2.&&........Field
590a0 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 _r_Slot_inst_get.(insn).==.0)...
590c0 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 41 5f 4c 48 3b 0a 09 20 20 ...return.OPCODE_UMUL_AA_LH;....
590e0 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op1_Slot_inst_get.(ins
59100 6e 29 20 3d 3d 20 33 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 n).==.3.&&........Field_r_Slot_i
59120 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e nst_get.(insn).==.0)......return
59140 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 41 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 .OPCODE_UMUL_AA_HH;....if.(Field
59160 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 20 26 _op1_Slot_inst_get.(insn).==.4.&
59180 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_r_Slot_inst_get.(
591a0 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d insn).==.0)......return.OPCODE_M
591c0 55 4c 5f 41 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f UL_AA_LL;....if.(Field_op1_Slot_
591e0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 20 26 26 0a 09 20 20 20 20 20 20 46 inst_get.(insn).==.5.&&........F
59200 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 ield_r_Slot_inst_get.(insn).==.0
59220 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 48 4c 3b 0a )......return.OPCODE_MUL_AA_HL;.
59240 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op1_Slot_inst_get.(
59260 69 6e 73 6e 29 20 3d 3d 20 36 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f insn).==.6.&&........Field_r_Slo
59280 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.0)......ret
592a0 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 urn.OPCODE_MUL_AA_LH;....if.(Fie
592c0 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 ld_op1_Slot_inst_get.(insn).==.7
592e0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .&&........Field_r_Slot_inst_get
59300 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 .(insn).==.0)......return.OPCODE
59320 5f 4d 55 4c 5f 41 41 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f _MUL_AA_HH;....if.(Field_op1_Slo
59340 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.8.&&.......
59360 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d .Field_r_Slot_inst_get.(insn).==
59380 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 4c .0)......return.OPCODE_MULA_AA_L
593a0 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 L;....if.(Field_op1_Slot_inst_ge
593c0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f t.(insn).==.9.&&........Field_r_
593e0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.0)......
59400 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 48 4c 3b 0a 09 20 20 69 66 20 return.OPCODE_MULA_AA_HL;....if.
59420 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op1_Slot_inst_get.(insn).
59440 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 ==.10.&&........Field_r_Slot_ins
59460 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.0)......return.O
59480 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f PCODE_MULA_AA_LH;....if.(Field_o
594a0 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 p1_Slot_inst_get.(insn).==.11.&&
594c0 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ........Field_r_Slot_inst_get.(i
594e0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
59500 4c 41 5f 41 41 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f LA_AA_HH;....if.(Field_op1_Slot_
59520 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 20 20 20 20 20 20 inst_get.(insn).==.12.&&........
59540 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
59560 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 4c 4c 0)......return.OPCODE_MULS_AA_LL
59580 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ;....if.(Field_op1_Slot_inst_get
595a0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f .(insn).==.13.&&........Field_r_
595c0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.0)......
595e0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 48 4c 3b 0a 09 20 20 69 66 20 return.OPCODE_MULS_AA_HL;....if.
59600 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op1_Slot_inst_get.(insn).
59620 3d 3d 20 31 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 ==.14.&&........Field_r_Slot_ins
59640 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.0)......return.O
59660 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f PCODE_MULS_AA_LH;....if.(Field_o
59680 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 20 26 26 p1_Slot_inst_get.(insn).==.15.&&
596a0 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ........Field_r_Slot_inst_get.(i
596c0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
596e0 4c 53 5f 41 41 5f 48 48 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 LS_AA_HH;..}.......if.(Field_op2
59700 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 7b 0a 09 _Slot_inst_get.(insn).==.8)..{..
59720 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op1_Slot_inst_get.(i
59740 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 nsn).==.0.&&........Field_t_Slot
59760 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
59780 46 69 65 6c 64 5f 72 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_rhi_Slot_inst_get.(insn).=
597a0 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 44 49 4e 43 3b 0a 09 =.0)......return.OPCODE_LDINC;..
597c0 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f }.......if.(Field_op2_Slot_inst_
597e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 get.(insn).==.9)..{....if.(Field
59800 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 _op1_Slot_inst_get.(insn).==.0.&
59820 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_t_Slot_inst_get.(
59840 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 68 69 5f 53 insn).==.0.&&........Field_rhi_S
59860 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 lot_inst_get.(insn).==.0)......r
59880 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 44 44 45 43 3b 0a 09 7d 0a 20 20 20 20 7d 0a 20 20 69 eturn.OPCODE_LDDEC;..}.....}...i
598a0 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e f.(Field_op0_Slot_inst_get.(insn
598c0 29 20 3d 3d 20 35 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f ).==.5).....{.......if.(Field_n_
598e0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 72 65 74 75 Slot_inst_get.(insn).==.0)..retu
59900 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 30 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 rn.OPCODE_CALL0;.......if.(Field
59920 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 72 _n_Slot_inst_get.(insn).==.1)..r
59940 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 34 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 eturn.OPCODE_CALL4;.......if.(Fi
59960 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 eld_n_Slot_inst_get.(insn).==.2)
59980 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 38 3b 0a 20 20 20 20 20 20 69 66 20 ..return.OPCODE_CALL8;.......if.
599a0 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_n_Slot_inst_get.(insn).==
599c0 20 33 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 31 32 3b 0a 20 20 20 20 7d .3)..return.OPCODE_CALL12;.....}
599e0 0a 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op0_Slot_inst_get.(
59a00 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c insn).==.6).....{.......if.(Fiel
59a20 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 d_n_Slot_inst_get.(insn).==.0)..
59a40 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4a 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 return.OPCODE_J;.......if.(Field
59a60 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 7b _n_Slot_inst_get.(insn).==.1)..{
59a80 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ....if.(Field_m_Slot_inst_get.(i
59aa0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 45 nsn).==.0)......return.OPCODE_BE
59ac0 51 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 QZ;....if.(Field_m_Slot_inst_get
59ae0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 .(insn).==.1)......return.OPCODE
59b00 5f 42 4e 45 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f _BNEZ;....if.(Field_m_Slot_inst_
59b20 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 get.(insn).==.2)......return.OPC
59b40 4f 44 45 5f 42 4c 54 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e ODE_BLTZ;....if.(Field_m_Slot_in
59b60 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.3)......return.
59b80 4f 50 43 4f 44 45 5f 42 47 45 5a 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f OPCODE_BGEZ;..}.......if.(Field_
59ba0 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 7b 0a n_Slot_inst_get.(insn).==.2)..{.
59bc0 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ...if.(Field_m_Slot_inst_get.(in
59be0 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 45 51 sn).==.0)......return.OPCODE_BEQ
59c00 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 I;....if.(Field_m_Slot_inst_get.
59c20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f (insn).==.1)......return.OPCODE_
59c40 42 4e 45 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 BNEI;....if.(Field_m_Slot_inst_g
59c60 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.2)......return.OPCO
59c80 44 45 5f 42 4c 54 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 DE_BLTI;....if.(Field_m_Slot_ins
59ca0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.3)......return.O
59cc0 50 43 4f 44 45 5f 42 47 45 49 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e PCODE_BGEI;..}.......if.(Field_n
59ce0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 7b 0a 09 _Slot_inst_get.(insn).==.3)..{..
59d00 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ..if.(Field_m_Slot_inst_get.(ins
59d20 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 45 4e 54 52 n).==.0)......return.OPCODE_ENTR
59d40 59 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 Y;....if.(Field_m_Slot_inst_get.
59d60 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 (insn).==.1)......{........if.(F
59d80 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 ield_r_Slot_inst_get.(insn).==.8
59da0 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 3b 0a 09 20 20 20 20 20 20 69 )...return.OPCODE_LOOP;........i
59dc0 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_r_Slot_inst_get.(insn).
59de0 3d 3d 20 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 4e 45 5a 3b 0a 09 ==.9)...return.OPCODE_LOOPNEZ;..
59e00 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ......if.(Field_r_Slot_inst_get.
59e20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 4f (insn).==.10)...return.OPCODE_LO
59e40 4f 50 47 54 5a 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f OPGTZ;......}....if.(Field_m_Slo
59e60 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.2)......ret
59e80 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4c 54 55 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d urn.OPCODE_BLTUI;....if.(Field_m
59ea0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.3).....
59ec0 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 47 45 55 49 3b 0a 09 7d 0a 20 20 20 20 7d 0a 20 .return.OPCODE_BGEUI;..}.....}..
59ee0 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op0_Slot_inst_get.(in
59f00 73 6e 29 20 3d 3d 20 37 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f sn).==.7).....{.......if.(Field_
59f20 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 72 65 r_Slot_inst_get.(insn).==.0)..re
59f40 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4e 4f 4e 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 turn.OPCODE_BNONE;.......if.(Fie
59f60 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a ld_r_Slot_inst_get.(insn).==.1).
59f80 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 45 51 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 .return.OPCODE_BEQ;.......if.(Fi
59fa0 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 eld_r_Slot_inst_get.(insn).==.2)
59fc0 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4c 54 3b 0a 20 20 20 20 20 20 69 66 20 28 46 ..return.OPCODE_BLT;.......if.(F
59fe0 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 ield_r_Slot_inst_get.(insn).==.3
5a000 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4c 54 55 3b 0a 20 20 20 20 20 20 69 66 20 )..return.OPCODE_BLTU;.......if.
5a020 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_r_Slot_inst_get.(insn).==
5a040 20 34 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 41 4c 4c 3b 0a 20 20 20 20 20 20 69 .4)..return.OPCODE_BALL;.......i
5a060 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_r_Slot_inst_get.(insn).
5a080 3d 3d 20 35 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 42 43 3b 0a 20 20 20 20 20 20 ==.5)..return.OPCODE_BBC;.......
5a0a0 69 66 20 28 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.((Field_r_Slot_inst_get.(insn
5a0c0 29 20 3d 3d 20 36 20 7c 7c 0a 09 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ).==.6.||.....Field_r_Slot_inst_
5a0e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 29 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 get.(insn).==.7))..return.OPCODE
5a100 5f 42 42 43 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e _BBCI;.......if.(Field_r_Slot_in
5a120 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f st_get.(insn).==.8)..return.OPCO
5a140 44 45 5f 42 41 4e 59 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f DE_BANY;.......if.(Field_r_Slot_
5a160 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 72 65 74 75 72 6e 20 4f 50 inst_get.(insn).==.9)..return.OP
5a180 43 4f 44 45 5f 42 4e 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 CODE_BNE;.......if.(Field_r_Slot
5a1a0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 29 0a 09 72 65 74 75 72 6e 20 _inst_get.(insn).==.10)..return.
5a1c0 4f 50 43 4f 44 45 5f 42 47 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c OPCODE_BGE;.......if.(Field_r_Sl
5a1e0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 09 72 65 74 75 72 ot_inst_get.(insn).==.11)..retur
5a200 6e 20 4f 50 43 4f 44 45 5f 42 47 45 55 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 n.OPCODE_BGEU;.......if.(Field_r
5a220 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 72 65 _Slot_inst_get.(insn).==.12)..re
5a240 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4e 41 4c 4c 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 turn.OPCODE_BNALL;.......if.(Fie
5a260 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 ld_r_Slot_inst_get.(insn).==.13)
5a280 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 42 53 3b 0a 20 20 20 20 20 20 69 66 20 28 28 ..return.OPCODE_BBS;.......if.((
5a2a0 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
5a2c0 31 34 20 7c 7c 0a 09 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 14.||.....Field_r_Slot_inst_get.
5a2e0 28 69 6e 73 6e 29 20 3d 3d 20 31 35 29 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 42 (insn).==.15))..return.OPCODE_BB
5a300 53 49 3b 0a 20 20 20 20 7d 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 SI;.....}...return.0;.}..static.
5a320 69 6e 74 0a 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 64 65 63 6f 64 65 20 28 63 6f 6e 73 74 20 78 int.Slot_inst16b_decode.(const.x
5a340 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 69 66 20 28 46 69 65 6c tensa_insnbuf.insn).{...if.(Fiel
5a360 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d d_op0_Slot_inst16b_get.(insn).==
5a380 20 31 32 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 69 5f 53 6c 6f .12).....{.......if.(Field_i_Slo
5a3a0 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 72 65 74 75 t_inst16b_get.(insn).==.0)..retu
5a3c0 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 49 5f 4e 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c rn.OPCODE_MOVI_N;.......if.(Fiel
5a3e0 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 d_i_Slot_inst16b_get.(insn).==.1
5a400 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 )..{....if.(Field_z_Slot_inst16b
5a420 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.0)......return.OP
5a440 43 4f 44 45 5f 42 45 51 5a 5f 4e 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 CODE_BEQZ_N;....if.(Field_z_Slot
5a460 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 72 _inst16b_get.(insn).==.1)......r
5a480 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4e 45 5a 5f 4e 3b 0a 09 7d 0a 20 20 20 20 7d 0a 20 20 eturn.OPCODE_BNEZ_N;..}.....}...
5a4a0 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 if.(Field_op0_Slot_inst16b_get.(
5a4c0 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 insn).==.13).....{.......if.(Fie
5a4e0 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ld_r_Slot_inst16b_get.(insn).==.
5a500 30 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 5f 4e 3b 0a 20 20 20 20 20 20 69 0)..return.OPCODE_MOV_N;.......i
5a520 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 f.(Field_r_Slot_inst16b_get.(ins
5a540 6e 29 20 3d 3d 20 31 35 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 n).==.15)..{....if.(Field_t_Slot
5a560 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 _inst16b_get.(insn).==.0)......r
5a580 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 54 5f 4e 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 eturn.OPCODE_RET_N;....if.(Field
5a5a0 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 _t_Slot_inst16b_get.(insn).==.1)
5a5c0 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 54 57 5f 4e 3b 0a 09 20 20 69 ......return.OPCODE_RETW_N;....i
5a5e0 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 f.(Field_t_Slot_inst16b_get.(ins
5a600 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 52 45 41 n).==.2)......return.OPCODE_BREA
5a620 4b 5f 4e 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 K_N;....if.(Field_t_Slot_inst16b
5a640 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.3.&&........Field
5a660 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 _s_Slot_inst16b_get.(insn).==.0)
5a680 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4e 4f 50 5f 4e 3b 0a 09 20 20 69 66 ......return.OPCODE_NOP_N;....if
5a6a0 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e .(Field_t_Slot_inst16b_get.(insn
5a6c0 29 20 3d 3d 20 36 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e ).==.6.&&........Field_s_Slot_in
5a6e0 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 st16b_get.(insn).==.0)......retu
5a700 72 6e 20 4f 50 43 4f 44 45 5f 49 4c 4c 5f 4e 3b 0a 09 7d 0a 20 20 20 20 7d 0a 20 20 72 65 74 75 rn.OPCODE_ILL_N;..}.....}...retu
5a720 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 53 6c 6f 74 5f 69 6e 73 74 31 36 61 rn.0;.}..static.int.Slot_inst16a
5a740 5f 64 65 63 6f 64 65 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e _decode.(const.xtensa_insnbuf.in
5a760 73 6e 29 0a 7b 0a 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 sn).{...if.(Field_op0_Slot_inst1
5a780 36 61 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 20 20 20 20 72 65 74 75 72 6e 20 4f 6a_get.(insn).==.8).....return.O
5a7a0 50 43 4f 44 45 5f 4c 33 32 49 5f 4e 3b 0a 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c PCODE_L32I_N;...if.(Field_op0_Sl
5a7c0 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 20 20 20 20 ot_inst16a_get.(insn).==.9).....
5a7e0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 33 32 49 5f 4e 3b 0a 20 20 69 66 20 28 46 69 65 6c return.OPCODE_S32I_N;...if.(Fiel
5a800 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d d_op0_Slot_inst16a_get.(insn).==
5a820 20 31 30 29 0a 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 5f 4e 3b 0a 20 20 .10).....return.OPCODE_ADD_N;...
5a840 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 if.(Field_op0_Slot_inst16a_get.(
5a860 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 insn).==.11).....return.OPCODE_A
5a880 44 44 49 5f 4e 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 0c 0a 2f 2a 20 49 6e 73 74 72 DDI_N;...return.0;.}..../*.Instr
5a8a0 75 63 74 69 6f 6e 20 73 6c 6f 74 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 53 uction.slots...*/..static.void.S
5a8c0 6c 6f 74 5f 78 32 34 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 5f 30 5f 67 65 74 20 28 63 6f 6e 73 74 lot_x24_Format_inst_0_get.(const
5a8e0 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 20 20 20 20 78 74 65 .xtensa_insnbuf.insn,........xte
5a900 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
5a920 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 30 78 66 66 66 66 66 66 29 3b 0a 7d 0a 0a 73 [0].=.(insn[0].&.0xffffff);.}..s
5a940 74 61 74 69 63 20 76 6f 69 64 0a 53 6c 6f 74 5f 78 32 34 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 5f tatic.void.Slot_x24_Format_inst_
5a960 30 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 20 0_set.(xtensa_insnbuf.insn,.....
5a980 20 20 20 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 ...const.xtensa_insnbuf.slotbuf)
5a9a0 0a 7b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 .{...insn[0].=.(insn[0].&.~0xfff
5a9c0 66 66 66 29 20 7c 20 28 73 6c 6f 74 62 75 66 5b 30 5d 20 26 20 30 78 66 66 66 66 66 66 29 3b 0a fff).|.(slotbuf[0].&.0xffffff);.
5a9e0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 53 6c 6f 74 5f 78 31 36 61 5f 46 6f 72 6d 61 74 5f }..static.void.Slot_x16a_Format_
5aa00 69 6e 73 74 31 36 61 5f 30 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e inst16a_0_get.(const.xtensa_insn
5aa20 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 09 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f buf.insn,.....xtensa_insnbuf.slo
5aa40 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 tbuf).{...slotbuf[0].=.(insn[0].
5aa60 26 20 30 78 66 66 66 66 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 53 6c 6f 74 5f 78 &.0xffff);.}..static.void.Slot_x
5aa80 31 36 61 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 61 5f 30 5f 73 65 74 20 28 78 74 65 6e 73 61 16a_Format_inst16a_0_set.(xtensa
5aaa0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 09 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f _insnbuf.insn,.....const.xtensa_
5aac0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 insnbuf.slotbuf).{...insn[0].=.(
5aae0 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 29 20 7c 20 28 73 6c 6f 74 62 75 66 5b 30 5d insn[0].&.~0xffff).|.(slotbuf[0]
5ab00 20 26 20 30 78 66 66 66 66 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 53 6c 6f 74 5f .&.0xffff);.}..static.void.Slot_
5ab20 78 31 36 62 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 62 5f 30 5f 67 65 74 20 28 63 6f 6e 73 74 x16b_Format_inst16b_0_get.(const
5ab40 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 09 78 74 65 6e 73 61 .xtensa_insnbuf.insn,.....xtensa
5ab60 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
5ab80 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 30 78 66 66 66 66 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 .=.(insn[0].&.0xffff);.}..static
5aba0 20 76 6f 69 64 0a 53 6c 6f 74 5f 78 31 36 62 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 62 5f 30 .void.Slot_x16b_Format_inst16b_0
5abc0 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 09 63 _set.(xtensa_insnbuf.insn,.....c
5abe0 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 onst.xtensa_insnbuf.slotbuf).{..
5ac00 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 29 20 7c .insn[0].=.(insn[0].&.~0xffff).|
5ac20 20 28 73 6c 6f 74 62 75 66 5b 30 5d 20 26 20 30 78 66 66 66 66 29 3b 0a 7d 0a 0a 73 74 61 74 69 .(slotbuf[0].&.0xffff);.}..stati
5ac40 63 20 78 74 65 6e 73 61 5f 67 65 74 5f 66 69 65 6c 64 5f 66 6e 0a 53 6c 6f 74 5f 69 6e 73 74 5f c.xtensa_get_field_fn.Slot_inst_
5ac60 67 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 65 6c 64 5f 74 5f 53 6c get_field_fns[].=.{...Field_t_Sl
5ac80 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 62 69 34 5f 53 6c 6f 74 5f 69 ot_inst_get,...Field_bbi4_Slot_i
5aca0 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 nst_get,...Field_bbi_Slot_inst_g
5acc0 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 31 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c et,...Field_imm12_Slot_inst_get,
5ace0 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 ...Field_imm8_Slot_inst_get,...F
5ad00 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d ield_s_Slot_inst_get,...Field_im
5ad20 6d 31 32 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 31 m12b_Slot_inst_get,...Field_imm1
5ad40 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 6_Slot_inst_get,...Field_m_Slot_
5ad60 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 inst_get,...Field_n_Slot_inst_ge
5ad80 74 2c 0a 20 20 46 69 65 6c 64 5f 6f 66 66 73 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c t,...Field_offset_Slot_inst_get,
5ada0 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 ...Field_op0_Slot_inst_get,...Fi
5adc0 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6f eld_op1_Slot_inst_get,...Field_o
5ade0 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 p2_Slot_inst_get,...Field_r_Slot
5ae00 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 34 5f 53 6c 6f 74 5f 69 6e 73 74 _inst_get,...Field_sa4_Slot_inst
5ae20 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 _get,...Field_sae4_Slot_inst_get
5ae40 2c 0a 20 20 46 69 65 6c 64 5f 73 61 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 ,...Field_sae_Slot_inst_get,...F
5ae60 69 65 6c 64 5f 73 61 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f ield_sal_Slot_inst_get,...Field_
5ae80 73 61 72 67 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 73 sargt_Slot_inst_get,...Field_sas
5aea0 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 73 5f 53 6c 6f 4_Slot_inst_get,...Field_sas_Slo
5aec0 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 t_inst_get,...Field_sr_Slot_inst
5aee0 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a _get,...Field_st_Slot_inst_get,.
5af00 20 20 46 69 65 6c 64 5f 74 68 69 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 ..Field_thi3_Slot_inst_get,...Fi
5af20 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f eld_imm4_Slot_inst_get,...Field_
5af40 6d 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c mn_Slot_inst_get,...0,...0,...0,
5af60 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c ...0,...0,...0,...0,...0,...Fiel
5af80 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 72 62 69 74 d_r3_Slot_inst_get,...Field_rbit
5afa0 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 72 68 69 5f 53 6c 6f 2_Slot_inst_get,...Field_rhi_Slo
5afc0 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 t_inst_get,...Field_t3_Slot_inst
5afe0 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 62 69 74 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 _get,...Field_tbit2_Slot_inst_ge
5b000 74 2c 0a 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 t,...Field_tlo_Slot_inst_get,...
5b020 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 79 Field_w_Slot_inst_get,...Field_y
5b040 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 5f 53 6c 6f 74 5f 69 _Slot_inst_get,...Field_x_Slot_i
5b060 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 35 5f 69 6d 6d 5f 53 6c nst_get,...Field_xt_wbr15_imm_Sl
5b080 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 38 5f 69 6d ot_inst_get,...Field_xt_wbr18_im
5b0a0 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 m_Slot_inst_get,...Field_bitinde
5b0c0 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 x_Slot_inst_get,...Field_s3to1_S
5b0e0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 lot_inst_get,...Implicit_Field_a
5b100 72 30 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 34 5f 67 65 74 r0_get,...Implicit_Field_ar4_get
5b120 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 38 5f 67 65 74 2c 0a 20 20 49 6d ,...Implicit_Field_ar8_get,...Im
5b140 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 31 32 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 plicit_Field_ar12_get,...Implici
5b160 74 5f 46 69 65 6c 64 5f 6d 72 30 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c t_Field_mr0_get,...Implicit_Fiel
5b180 64 5f 6d 72 31 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 32 5f d_mr1_get,...Implicit_Field_mr2_
5b1a0 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 33 5f 67 65 74 0a 7d 3b get,...Implicit_Field_mr3_get.};
5b1c0 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 0a 53 6c 6f ..static.xtensa_set_field_fn.Slo
5b1e0 74 5f 69 6e 73 74 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 65 t_inst_set_field_fns[].=.{...Fie
5b200 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 62 69 34 ld_t_Slot_inst_set,...Field_bbi4
5b220 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 62 69 5f 53 6c 6f 74 _Slot_inst_set,...Field_bbi_Slot
5b240 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 31 32 5f 53 6c 6f 74 5f 69 6e _inst_set,...Field_imm12_Slot_in
5b260 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 st_set,...Field_imm8_Slot_inst_s
5b280 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 et,...Field_s_Slot_inst_set,...F
5b2a0 69 65 6c 64 5f 69 6d 6d 31 32 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 ield_imm12b_Slot_inst_set,...Fie
5b2c0 6c 64 5f 69 6d 6d 31 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f ld_imm16_Slot_inst_set,...Field_
5b2e0 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f m_Slot_inst_set,...Field_n_Slot_
5b300 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6f 66 66 73 65 74 5f 53 6c 6f 74 5f 69 6e inst_set,...Field_offset_Slot_in
5b320 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 st_set,...Field_op0_Slot_inst_se
5b340 74 2c 0a 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 t,...Field_op1_Slot_inst_set,...
5b360 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 Field_op2_Slot_inst_set,...Field
5b380 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 34 5f 53 6c _r_Slot_inst_set,...Field_sa4_Sl
5b3a0 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 65 34 5f 53 6c 6f 74 5f 69 ot_inst_set,...Field_sae4_Slot_i
5b3c0 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 nst_set,...Field_sae_Slot_inst_s
5b3e0 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 et,...Field_sal_Slot_inst_set,..
5b400 20 46 69 65 6c 64 5f 73 61 72 67 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 .Field_sargt_Slot_inst_set,...Fi
5b420 65 6c 64 5f 73 61 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f eld_sas4_Slot_inst_set,...Field_
5b440 73 61 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c sas_Slot_inst_set,...Field_sr_Sl
5b460 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 ot_inst_set,...Field_st_Slot_ins
5b480 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 68 69 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 t_set,...Field_thi3_Slot_inst_se
5b4a0 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 t,...Field_imm4_Slot_inst_set,..
5b4c0 20 46 69 65 6c 64 5f 6d 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 .Field_mn_Slot_inst_set,...0,...
5b4e0 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0,...0,...0,...0,...0,...0,...0,
5b500 0a 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 ...Field_r3_Slot_inst_set,...Fie
5b520 6c 64 5f 72 62 69 74 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f ld_rbit2_Slot_inst_set,...Field_
5b540 72 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c rhi_Slot_inst_set,...Field_t3_Sl
5b560 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 62 69 74 32 5f 53 6c 6f 74 5f ot_inst_set,...Field_tbit2_Slot_
5b580 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f inst_set,...Field_tlo_Slot_inst_
5b5a0 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 set,...Field_w_Slot_inst_set,...
5b5c0 46 69 65 6c 64 5f 79 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 Field_y_Slot_inst_set,...Field_x
5b5e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 35 _Slot_inst_set,...Field_xt_wbr15
5b600 5f 69 6d 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 74 5f 77 _imm_Slot_inst_set,...Field_xt_w
5b620 62 72 31 38 5f 69 6d 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f br18_imm_Slot_inst_set,...Field_
5b640 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f bitindex_Slot_inst_set,...Field_
5b660 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f s3to1_Slot_inst_set,...Implicit_
5b680 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c Field_set,...Implicit_Field_set,
5b6a0 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 ...Implicit_Field_set,...Implici
5b6c0 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 t_Field_set,...Implicit_Field_se
5b6e0 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 t,...Implicit_Field_set,...Impli
5b700 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f cit_Field_set,...Implicit_Field_
5b720 73 65 74 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 67 65 74 5f 66 69 65 6c 64 5f set.};..static.xtensa_get_field_
5b740 66 6e 0a 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 fn.Slot_inst16a_get_field_fns[].
5b760 3d 20 7b 0a 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a =.{...Field_t_Slot_inst16a_get,.
5b780 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 5f 53 6c ..0,...0,...0,...0,...Field_s_Sl
5b7a0 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 ot_inst16a_get,...0,...0,...0,..
5b7c0 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 .0,...0,...Field_op0_Slot_inst16
5b7e0 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f a_get,...0,...0,...Field_r_Slot_
5b800 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c inst16a_get,...0,...0,...0,...0,
5b820 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f ...0,...0,...0,...Field_sr_Slot_
5b840 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 inst16a_get,...Field_st_Slot_ins
5b860 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 t16a_get,...0,...Field_imm4_Slot
5b880 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 5f 53 6c 6f _inst16a_get,...0,...Field_i_Slo
5b8a0 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c t_inst16a_get,...Field_imm6lo_Sl
5b8c0 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 ot_inst16a_get,...Field_imm6hi_S
5b8e0 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f lot_inst16a_get,...Field_imm7lo_
5b900 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 68 69 Slot_inst16a_get,...Field_imm7hi
5b920 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 7a 5f 53 6c 6f _Slot_inst16a_get,...Field_z_Slo
5b940 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 t_inst16a_get,...Field_imm6_Slot
5b960 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f _inst16a_get,...Field_imm7_Slot_
5b980 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c inst16a_get,...0,...0,...0,...0,
5b9a0 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 ...0,...0,...0,...0,...0,...0,..
5b9c0 20 30 2c 0a 20 20 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 .0,...Field_bitindex_Slot_inst16
5b9e0 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 a_get,...Field_s3to1_Slot_inst16
5ba00 61 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 30 5f 67 65 74 2c a_get,...Implicit_Field_ar0_get,
5ba20 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 34 5f 67 65 74 2c 0a 20 20 49 6d 70 ...Implicit_Field_ar4_get,...Imp
5ba40 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 38 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f licit_Field_ar8_get,...Implicit_
5ba60 46 69 65 6c 64 5f 61 72 31 32 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 Field_ar12_get,...Implicit_Field
5ba80 5f 6d 72 30 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 31 5f 67 _mr0_get,...Implicit_Field_mr1_g
5baa0 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 32 5f 67 65 74 2c 0a 20 20 et,...Implicit_Field_mr2_get,...
5bac0 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 33 5f 67 65 74 0a 7d 3b 0a 0a 73 74 61 74 69 Implicit_Field_mr3_get.};..stati
5bae0 63 20 78 74 65 6e 73 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 0a 53 6c 6f 74 5f 69 6e 73 74 31 c.xtensa_set_field_fn.Slot_inst1
5bb00 36 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 65 6c 64 5f 74 6a_set_field_fns[].=.{...Field_t
5bb20 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 _Slot_inst16a_set,...0,...0,...0
5bb40 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 ,...0,...Field_s_Slot_inst16a_se
5bb60 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 t,...0,...0,...0,...0,...0,...Fi
5bb80 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 eld_op0_Slot_inst16a_set,...0,..
5bba0 20 30 2c 0a 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a .0,...Field_r_Slot_inst16a_set,.
5bbc0 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 ..0,...0,...0,...0,...0,...0,...
5bbe0 30 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 0,...Field_sr_Slot_inst16a_set,.
5bc00 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 30 ..Field_st_Slot_inst16a_set,...0
5bc20 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c ,...Field_imm4_Slot_inst16a_set,
5bc40 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 ...0,...Field_i_Slot_inst16a_set
5bc60 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 ,...Field_imm6lo_Slot_inst16a_se
5bc80 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 t,...Field_imm6hi_Slot_inst16a_s
5bca0 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f et,...Field_imm7lo_Slot_inst16a_
5bcc0 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 set,...Field_imm7hi_Slot_inst16a
5bce0 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 _set,...Field_z_Slot_inst16a_set
5bd00 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c ,...Field_imm6_Slot_inst16a_set,
5bd20 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a ...Field_imm7_Slot_inst16a_set,.
5bd40 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 ..0,...0,...0,...0,...0,...0,...
5bd60 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 62 0,...0,...0,...0,...0,...Field_b
5bd80 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 46 69 65 6c itindex_Slot_inst16a_set,...Fiel
5bda0 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 49 6d 70 6c d_s3to1_Slot_inst16a_set,...Impl
5bdc0 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 icit_Field_set,...Implicit_Field
5bde0 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d _set,...Implicit_Field_set,...Im
5be00 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 plicit_Field_set,...Implicit_Fie
5be20 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 ld_set,...Implicit_Field_set,...
5be40 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 Implicit_Field_set,...Implicit_F
5be60 69 65 6c 64 5f 73 65 74 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 67 65 74 5f 66 ield_set.};..static.xtensa_get_f
5be80 69 65 6c 64 5f 66 6e 0a 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 5f 66 69 65 6c 64 5f 66 ield_fn.Slot_inst16b_get_field_f
5bea0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f ns[].=.{...Field_t_Slot_inst16b_
5bec0 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 get,...0,...0,...0,...0,...Field
5bee0 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 _s_Slot_inst16b_get,...0,...0,..
5bf00 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 .0,...0,...0,...Field_op0_Slot_i
5bf20 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 72 5f nst16b_get,...0,...0,...Field_r_
5bf40 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c Slot_inst16b_get,...0,...0,...0,
5bf60 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f ...0,...0,...0,...0,...Field_sr_
5bf80 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f Slot_inst16b_get,...Field_st_Slo
5bfa0 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 t_inst16b_get,...0,...Field_imm4
5bfc0 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f _Slot_inst16b_get,...0,...Field_
5bfe0 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 i_Slot_inst16b_get,...Field_imm6
5c000 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d lo_Slot_inst16b_get,...Field_imm
5c020 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6hi_Slot_inst16b_get,...Field_im
5c040 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 m7lo_Slot_inst16b_get,...Field_i
5c060 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f mm7hi_Slot_inst16b_get,...Field_
5c080 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 z_Slot_inst16b_get,...Field_imm6
5c0a0 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 5f _Slot_inst16b_get,...Field_imm7_
5c0c0 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c Slot_inst16b_get,...0,...0,...0,
5c0e0 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 ...0,...0,...0,...0,...0,...0,..
5c100 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 .0,...0,...Field_bitindex_Slot_i
5c120 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 nst16b_get,...Field_s3to1_Slot_i
5c140 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 30 nst16b_get,...Implicit_Field_ar0
5c160 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 34 5f 67 65 74 2c 0a _get,...Implicit_Field_ar4_get,.
5c180 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 38 5f 67 65 74 2c 0a 20 20 49 6d 70 6c ..Implicit_Field_ar8_get,...Impl
5c1a0 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 31 32 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f icit_Field_ar12_get,...Implicit_
5c1c0 46 69 65 6c 64 5f 6d 72 30 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f Field_mr0_get,...Implicit_Field_
5c1e0 6d 72 31 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 32 5f 67 65 mr1_get,...Implicit_Field_mr2_ge
5c200 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 33 5f 67 65 74 0a 7d 3b 0a 0a t,...Implicit_Field_mr3_get.};..
5c220 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 0a 53 6c 6f 74 5f static.xtensa_set_field_fn.Slot_
5c240 69 6e 73 74 31 36 62 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 inst16b_set_field_fns[].=.{...Fi
5c260 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 eld_t_Slot_inst16b_set,...0,...0
5c280 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 ,...0,...0,...Field_s_Slot_inst1
5c2a0 36 62 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 6b_set,...0,...0,...0,...0,...0,
5c2c0 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 2c 0a 20 ...Field_op0_Slot_inst16b_set,..
5c2e0 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f .0,...0,...Field_r_Slot_inst16b_
5c300 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 set,...0,...0,...0,...0,...0,...
5c320 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 0,...0,...Field_sr_Slot_inst16b_
5c340 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 set,...Field_st_Slot_inst16b_set
5c360 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 ,...0,...Field_imm4_Slot_inst16b
5c380 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 _set,...0,...Field_i_Slot_inst16
5c3a0 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 b_set,...Field_imm6lo_Slot_inst1
5c3c0 36 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 6b_set,...Field_imm6hi_Slot_inst
5c3e0 31 36 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 16b_set,...Field_imm7lo_Slot_ins
5c400 74 31 36 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e t16b_set,...Field_imm7hi_Slot_in
5c420 73 74 31 36 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 st16b_set,...Field_z_Slot_inst16
5c440 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 b_set,...Field_imm6_Slot_inst16b
5c460 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f _set,...Field_imm7_Slot_inst16b_
5c480 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 set,...0,...0,...0,...0,...0,...
5c4a0 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 0,...0,...0,...0,...0,...0,...Fi
5c4c0 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 2c 0a 20 eld_bitindex_Slot_inst16b_set,..
5c4e0 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 2c 0a 20 .Field_s3to1_Slot_inst16b_set,..
5c500 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f .Implicit_Field_set,...Implicit_
5c520 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c Field_set,...Implicit_Field_set,
5c540 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 ...Implicit_Field_set,...Implici
5c560 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 t_Field_set,...Implicit_Field_se
5c580 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 t,...Implicit_Field_set,...Impli
5c5a0 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f cit_Field_set.};..static.xtensa_
5c5c0 73 6c 6f 74 5f 69 6e 74 65 72 6e 61 6c 20 73 6c 6f 74 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 22 49 slot_internal.slots[].=.{...{."I
5c5e0 6e 73 74 22 2c 20 22 78 32 34 22 2c 20 30 2c 0a 20 20 20 20 53 6c 6f 74 5f 78 32 34 5f 46 6f 72 nst",."x24",.0,.....Slot_x24_For
5c600 6d 61 74 5f 69 6e 73 74 5f 30 5f 67 65 74 2c 20 53 6c 6f 74 5f 78 32 34 5f 46 6f 72 6d 61 74 5f mat_inst_0_get,.Slot_x24_Format_
5c620 69 6e 73 74 5f 30 5f 73 65 74 2c 0a 20 20 20 20 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 5f 66 69 inst_0_set,.....Slot_inst_get_fi
5c640 65 6c 64 5f 66 6e 73 2c 20 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 eld_fns,.Slot_inst_set_field_fns
5c660 2c 0a 20 20 20 20 53 6c 6f 74 5f 69 6e 73 74 5f 64 65 63 6f 64 65 2c 20 22 6e 6f 70 22 20 7d 2c ,.....Slot_inst_decode,."nop".},
5c680 0a 20 20 7b 20 22 49 6e 73 74 31 36 61 22 2c 20 22 78 31 36 61 22 2c 20 30 2c 0a 20 20 20 20 53 ...{."Inst16a",."x16a",.0,.....S
5c6a0 6c 6f 74 5f 78 31 36 61 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 61 5f 30 5f 67 65 74 2c 20 53 lot_x16a_Format_inst16a_0_get,.S
5c6c0 6c 6f 74 5f 78 31 36 61 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 61 5f 30 5f 73 65 74 2c 0a 20 lot_x16a_Format_inst16a_0_set,..
5c6e0 20 20 20 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 5f 66 69 65 6c 64 5f 66 6e 73 2c 20 53 ...Slot_inst16a_get_field_fns,.S
5c700 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 2c 0a 20 20 20 20 53 lot_inst16a_set_field_fns,.....S
5c720 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 64 65 63 6f 64 65 2c 20 22 22 20 7d 2c 0a 20 20 7b 20 22 49 lot_inst16a_decode,."".},...{."I
5c740 6e 73 74 31 36 62 22 2c 20 22 78 31 36 62 22 2c 20 30 2c 0a 20 20 20 20 53 6c 6f 74 5f 78 31 36 nst16b",."x16b",.0,.....Slot_x16
5c760 62 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 62 5f 30 5f 67 65 74 2c 20 53 6c 6f 74 5f 78 31 36 b_Format_inst16b_0_get,.Slot_x16
5c780 62 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 62 5f 30 5f 73 65 74 2c 0a 20 20 20 20 53 6c 6f 74 b_Format_inst16b_0_set,.....Slot
5c7a0 5f 69 6e 73 74 31 36 62 5f 67 65 74 5f 66 69 65 6c 64 5f 66 6e 73 2c 20 53 6c 6f 74 5f 69 6e 73 _inst16b_get_field_fns,.Slot_ins
5c7c0 74 31 36 62 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 2c 0a 20 20 20 20 53 6c 6f 74 5f 69 6e 73 t16b_set_field_fns,.....Slot_ins
5c7e0 74 31 36 62 5f 64 65 63 6f 64 65 2c 20 22 6e 6f 70 2e 6e 22 20 7d 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 t16b_decode,."nop.n".}.};..../*.
5c800 49 6e 73 74 72 75 63 74 69 6f 6e 20 66 6f 72 6d 61 74 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 Instruction.formats...*/..static
5c820 20 76 6f 69 64 0a 46 6f 72 6d 61 74 5f 78 32 34 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f .void.Format_x24_encode.(xtensa_
5c840 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 30 3b 0a 7d insnbuf.insn).{...insn[0].=.0;.}
5c860 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 6f 72 6d 61 74 5f 78 31 36 61 5f 65 6e 63 6f 64 65 ..static.void.Format_x16a_encode
5c880 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 69 6e 73 6e 5b .(xtensa_insnbuf.insn).{...insn[
5c8a0 30 5d 20 3d 20 30 78 38 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 6f 72 6d 61 74 5f 0].=.0x8;.}..static.void.Format_
5c8c0 78 31 36 62 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e x16b_encode.(xtensa_insnbuf.insn
5c8e0 29 0a 7b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 30 78 63 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 ).{...insn[0].=.0xc;.}..static.i
5c900 6e 74 20 46 6f 72 6d 61 74 5f 78 32 34 5f 73 6c 6f 74 73 5b 5d 20 3d 20 7b 20 30 20 7d 3b 0a 0a nt.Format_x24_slots[].=.{.0.};..
5c920 73 74 61 74 69 63 20 69 6e 74 20 46 6f 72 6d 61 74 5f 78 31 36 61 5f 73 6c 6f 74 73 5b 5d 20 3d static.int.Format_x16a_slots[].=
5c940 20 7b 20 31 20 7d 3b 0a 0a 73 74 61 74 69 63 20 69 6e 74 20 46 6f 72 6d 61 74 5f 78 31 36 62 5f .{.1.};..static.int.Format_x16b_
5c960 73 6c 6f 74 73 5b 5d 20 3d 20 7b 20 32 20 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f slots[].=.{.2.};..static.xtensa_
5c980 66 6f 72 6d 61 74 5f 69 6e 74 65 72 6e 61 6c 20 66 6f 72 6d 61 74 73 5b 5d 20 3d 20 7b 0a 20 20 format_internal.formats[].=.{...
5c9a0 7b 20 22 78 32 34 22 2c 20 33 2c 20 46 6f 72 6d 61 74 5f 78 32 34 5f 65 6e 63 6f 64 65 2c 20 31 {."x24",.3,.Format_x24_encode,.1
5c9c0 2c 20 46 6f 72 6d 61 74 5f 78 32 34 5f 73 6c 6f 74 73 20 7d 2c 0a 20 20 7b 20 22 78 31 36 61 22 ,.Format_x24_slots.},...{."x16a"
5c9e0 2c 20 32 2c 20 46 6f 72 6d 61 74 5f 78 31 36 61 5f 65 6e 63 6f 64 65 2c 20 31 2c 20 46 6f 72 6d ,.2,.Format_x16a_encode,.1,.Form
5ca00 61 74 5f 78 31 36 61 5f 73 6c 6f 74 73 20 7d 2c 0a 20 20 7b 20 22 78 31 36 62 22 2c 20 32 2c 20 at_x16a_slots.},...{."x16b",.2,.
5ca20 46 6f 72 6d 61 74 5f 78 31 36 62 5f 65 6e 63 6f 64 65 2c 20 31 2c 20 46 6f 72 6d 61 74 5f 78 31 Format_x16b_encode,.1,.Format_x1
5ca40 36 62 5f 73 6c 6f 74 73 20 7d 0a 7d 3b 0a 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 66 6f 72 6d 61 6b_slots.}.};...static.int.forma
5ca60 74 5f 64 65 63 6f 64 65 72 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 t_decoder.(const.xtensa_insnbuf.
5ca80 69 6e 73 6e 29 0a 7b 0a 20 20 69 66 20 28 28 69 6e 73 6e 5b 30 5d 20 26 20 30 78 38 29 20 3d 3d insn).{...if.((insn[0].&.0x8).==
5caa0 20 30 29 0a 20 20 20 20 72 65 74 75 72 6e 20 30 3b 20 2f 2a 20 78 32 34 20 2a 2f 0a 20 20 69 66 .0).....return.0;./*.x24.*/...if
5cac0 20 28 28 69 6e 73 6e 5b 30 5d 20 26 20 30 78 63 29 20 3d 3d 20 30 78 38 29 0a 20 20 20 20 72 65 .((insn[0].&.0xc).==.0x8).....re
5cae0 74 75 72 6e 20 31 3b 20 2f 2a 20 78 31 36 61 20 2a 2f 0a 20 20 69 66 20 28 28 69 6e 73 6e 5b 30 turn.1;./*.x16a.*/...if.((insn[0
5cb00 5d 20 26 20 30 78 65 29 20 3d 3d 20 30 78 63 29 0a 20 20 20 20 72 65 74 75 72 6e 20 32 3b 20 2f ].&.0xe).==.0xc).....return.2;./
5cb20 2a 20 78 31 36 62 20 2a 2f 0a 20 20 72 65 74 75 72 6e 20 2d 31 3b 0a 7d 0a 0a 73 74 61 74 69 63 *.x16b.*/...return.-1;.}..static
5cb40 20 69 6e 74 20 6c 65 6e 67 74 68 5f 74 61 62 6c 65 5b 32 35 36 5d 20 3d 20 7b 0a 20 20 33 2c 0a .int.length_table[256].=.{...3,.
5cb60 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 ..3,...3,...3,...3,...3,...3,...
5cb80 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 3,...2,...2,...2,...2,...2,...2,
5cba0 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c ...-1,...-1,...3,...3,...3,...3,
5cbc0 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 ...3,...3,...3,...3,...2,...2,..
5cbe0 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 .2,...2,...2,...2,...-1,...-1,..
5cc00 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 .3,...3,...3,...3,...3,...3,...3
5cc20 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a ,...3,...2,...2,...2,...2,...2,.
5cc40 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a ..2,...-1,...-1,...3,...3,...3,.
5cc60 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 ..3,...3,...3,...3,...3,...2,...
5cc80 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 2,...2,...2,...2,...2,...-1,...-
5cca0 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 1,...3,...3,...3,...3,...3,...3,
5ccc0 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 ...3,...3,...2,...2,...2,...2,..
5cce0 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 .2,...2,...-1,...-1,...3,...3,..
5cd00 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 .3,...3,...3,...3,...3,...3,...2
5cd20 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c ,...2,...2,...2,...2,...2,...-1,
5cd40 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a ...-1,...3,...3,...3,...3,...3,.
5cd60 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 ..3,...3,...3,...2,...2,...2,...
5cd80 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 2,...2,...2,...-1,...-1,...3,...
5cda0 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 3,...3,...3,...3,...3,...3,...3,
5cdc0 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 ...2,...2,...2,...2,...2,...2,..
5cde0 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 .-1,...-1,...3,...3,...3,...3,..
5ce00 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 .3,...3,...3,...3,...2,...2,...2
5ce20 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 ,...2,...2,...2,...-1,...-1,...3
5ce40 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a ,...3,...3,...3,...3,...3,...3,.
5ce60 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 ..3,...2,...2,...2,...2,...2,...
5ce80 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 2,...-1,...-1,...3,...3,...3,...
5cea0 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 3,...3,...3,...3,...3,...2,...2,
5cec0 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c ...2,...2,...2,...2,...-1,...-1,
5cee0 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 ...3,...3,...3,...3,...3,...3,..
5cf00 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 .3,...3,...2,...2,...2,...2,...2
5cf20 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 ,...2,...-1,...-1,...3,...3,...3
5cf40 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a ,...3,...3,...3,...3,...3,...2,.
5cf60 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 ..2,...2,...2,...2,...2,...-1,..
5cf80 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 .-1,...3,...3,...3,...3,...3,...
5cfa0 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 3,...3,...3,...2,...2,...2,...2,
5cfc0 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c ...2,...2,...-1,...-1,...3,...3,
5cfe0 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 ...3,...3,...3,...3,...3,...3,..
5d000 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d .2,...2,...2,...2,...2,...2,...-
5d020 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 1,...-1,...3,...3,...3,...3,...3
5d040 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a ,...3,...3,...3,...2,...2,...2,.
5d060 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 0a 7d 3b 0a 0a 73 74 ..2,...2,...2,...-1,...-1.};..st
5d080 61 74 69 63 20 69 6e 74 0a 6c 65 6e 67 74 68 5f 64 65 63 6f 64 65 72 20 28 63 6f 6e 73 74 20 75 atic.int.length_decoder.(const.u
5d0a0 6e 73 69 67 6e 65 64 20 63 68 61 72 20 2a 69 6e 73 6e 29 0a 7b 0a 20 20 69 6e 74 20 6c 20 3d 20 nsigned.char.*insn).{...int.l.=.
5d0c0 69 6e 73 6e 5b 30 5d 3b 0a 20 20 72 65 74 75 72 6e 20 6c 65 6e 67 74 68 5f 74 61 62 6c 65 5b 6c insn[0];...return.length_table[l
5d0e0 5d 3b 0a 7d 0a 0a 0c 0a 2f 2a 20 54 6f 70 2d 6c 65 76 65 6c 20 49 53 41 20 73 74 72 75 63 74 75 ];.}..../*.Top-level.ISA.structu
5d100 72 65 2e 20 20 2a 2f 0a 0a 78 74 65 6e 73 61 5f 69 73 61 5f 69 6e 74 65 72 6e 61 6c 20 78 74 65 re...*/..xtensa_isa_internal.xte
5d120 6e 73 61 5f 6d 6f 64 75 6c 65 73 20 3d 20 7b 0a 20 20 30 20 2f 2a 20 6c 69 74 74 6c 65 2d 65 6e nsa_modules.=.{...0./*.little-en
5d140 64 69 61 6e 20 2a 2f 2c 0a 20 20 33 20 2f 2a 20 69 6e 73 6e 5f 73 69 7a 65 20 2a 2f 2c 20 30 2c dian.*/,...3./*.insn_size.*/,.0,
5d160 0a 20 20 33 2c 20 66 6f 72 6d 61 74 73 2c 20 66 6f 72 6d 61 74 5f 64 65 63 6f 64 65 72 2c 20 6c ...3,.formats,.format_decoder,.l
5d180 65 6e 67 74 68 5f 64 65 63 6f 64 65 72 2c 0a 20 20 33 2c 20 73 6c 6f 74 73 2c 0a 20 20 35 36 20 ength_decoder,...3,.slots,...56.
5d1a0 2f 2a 20 6e 75 6d 5f 66 69 65 6c 64 73 20 2a 2f 2c 0a 20 20 39 34 2c 20 6f 70 65 72 61 6e 64 73 /*.num_fields.*/,...94,.operands
5d1c0 2c 0a 20 20 33 33 31 2c 20 69 63 6c 61 73 73 65 73 2c 0a 20 20 34 35 37 2c 20 6f 70 63 6f 64 65 ,...331,.iclasses,...457,.opcode
5d1e0 73 2c 20 30 2c 0a 20 20 32 2c 20 72 65 67 66 69 6c 65 73 2c 0a 20 20 4e 55 4d 5f 53 54 41 54 45 s,.0,...2,.regfiles,...NUM_STATE
5d200 53 2c 20 73 74 61 74 65 73 2c 20 30 2c 0a 20 20 4e 55 4d 5f 53 59 53 52 45 47 53 2c 20 73 79 73 S,.states,.0,...NUM_SYSREGS,.sys
5d220 72 65 67 73 2c 20 30 2c 0a 20 20 7b 20 4d 41 58 5f 53 50 45 43 49 41 4c 5f 52 45 47 2c 20 4d 41 regs,.0,...{.MAX_SPECIAL_REG,.MA
5d240 58 5f 55 53 45 52 5f 52 45 47 20 7d 2c 20 7b 20 30 2c 20 30 20 7d 2c 0a 20 20 31 2c 20 69 6e 74 X_USER_REG.},.{.0,.0.},...1,.int
5d260 65 72 66 61 63 65 73 2c 20 30 2c 0a 20 20 30 2c 20 66 75 6e 63 55 6e 69 74 73 2c 20 30 0a 7d 3b erfaces,.0,...0,.funcUnits,.0.};
5d280 0a 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d2a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d2c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d2e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d3a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d3c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d3e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d400 62 69 6e 75 74 69 6c 73 2f 69 6e 63 6c 75 64 65 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 binutils/include/...............
5d420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d460 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
5d480 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 34 34 36 37 00 20 35 00 00 00 0000000.13013421467.014467..5...
5d4a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d4c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d4e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d500 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
5d520 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
5d540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d5a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d5c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d5e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d600 62 69 6e 75 74 69 6c 73 2f 69 6e 63 6c 75 64 65 2f 78 74 65 6e 73 61 2d 63 6f 6e 66 69 67 2e 68 binutils/include/xtensa-config.h
5d620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d660 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
5d680 30 30 31 30 35 34 36 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 37 34 31 33 00 20 30 00 00 00 0010546.13013421467.017413..0...
5d6a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d6c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d6e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d700 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
5d720 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
5d740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d7a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d7c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d7e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5d800 2f 2a 20 58 74 65 6e 73 61 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 20 73 65 74 74 69 6e 67 73 /*.Xtensa.configuration.settings
5d820 2e 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 28 43 29 20 32 30 30 31 2c 20 32 30 30 32 2c 20 32 .....Copyright.(C).2001,.2002,.2
5d840 30 30 33 2c 20 32 30 30 34 2c 20 32 30 30 35 2c 20 32 30 30 36 2c 20 32 30 30 37 2c 20 32 30 30 003,.2004,.2005,.2006,.2007,.200
5d860 38 0a 20 20 20 46 72 65 65 20 53 6f 66 74 77 61 72 65 20 46 6f 75 6e 64 61 74 69 6f 6e 2c 20 49 8....Free.Software.Foundation,.I
5d880 6e 63 2e 0a 20 20 20 43 6f 6e 74 72 69 62 75 74 65 64 20 62 79 20 42 6f 62 20 57 69 6c 73 6f 6e nc.....Contributed.by.Bob.Wilson
5d8a0 20 28 62 6f 62 2e 77 69 6c 73 6f 6e 40 61 63 6d 2e 6f 72 67 29 20 61 74 20 54 65 6e 73 69 6c 69 .(bob.wilson@acm.org).at.Tensili
5d8c0 63 61 2e 0a 0a 20 20 20 54 68 69 73 20 70 72 6f 67 72 61 6d 20 69 73 20 66 72 65 65 20 73 6f 66 ca......This.program.is.free.sof
5d8e0 74 77 61 72 65 3b 20 79 6f 75 20 63 61 6e 20 72 65 64 69 73 74 72 69 62 75 74 65 20 69 74 20 61 tware;.you.can.redistribute.it.a
5d900 6e 64 2f 6f 72 20 6d 6f 64 69 66 79 0a 20 20 20 69 74 20 75 6e 64 65 72 20 74 68 65 20 74 65 72 nd/or.modify....it.under.the.ter
5d920 6d 73 20 6f 66 20 74 68 65 20 47 4e 55 20 47 65 6e 65 72 61 6c 20 50 75 62 6c 69 63 20 4c 69 63 ms.of.the.GNU.General.Public.Lic
5d940 65 6e 73 65 20 61 73 20 70 75 62 6c 69 73 68 65 64 20 62 79 0a 20 20 20 74 68 65 20 46 72 65 65 ense.as.published.by....the.Free
5d960 20 53 6f 66 74 77 61 72 65 20 46 6f 75 6e 64 61 74 69 6f 6e 3b 20 65 69 74 68 65 72 20 76 65 72 .Software.Foundation;.either.ver
5d980 73 69 6f 6e 20 32 2c 20 6f 72 20 28 61 74 20 79 6f 75 72 20 6f 70 74 69 6f 6e 29 0a 20 20 20 61 sion.2,.or.(at.your.option)....a
5d9a0 6e 79 20 6c 61 74 65 72 20 76 65 72 73 69 6f 6e 2e 0a 0a 20 20 20 54 68 69 73 20 70 72 6f 67 72 ny.later.version......This.progr
5d9c0 61 6d 20 69 73 20 64 69 73 74 72 69 62 75 74 65 64 20 69 6e 20 74 68 65 20 68 6f 70 65 20 74 68 am.is.distributed.in.the.hope.th
5d9e0 61 74 20 69 74 20 77 69 6c 6c 20 62 65 20 75 73 65 66 75 6c 2c 20 62 75 74 0a 20 20 20 57 49 54 at.it.will.be.useful,.but....WIT
5da00 48 4f 55 54 20 41 4e 59 20 57 41 52 52 41 4e 54 59 3b 20 77 69 74 68 6f 75 74 20 65 76 65 6e 20 HOUT.ANY.WARRANTY;.without.even.
5da20 74 68 65 20 69 6d 70 6c 69 65 64 20 77 61 72 72 61 6e 74 79 20 6f 66 0a 20 20 20 4d 45 52 43 48 the.implied.warranty.of....MERCH
5da40 41 4e 54 41 42 49 4c 49 54 59 20 6f 72 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 41 52 54 ANTABILITY.or.FITNESS.FOR.A.PART
5da60 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 2e 20 20 53 65 65 20 74 68 65 20 47 4e 55 0a 20 20 20 ICULAR.PURPOSE...See.the.GNU....
5da80 47 65 6e 65 72 61 6c 20 50 75 62 6c 69 63 20 4c 69 63 65 6e 73 65 20 66 6f 72 20 6d 6f 72 65 20 General.Public.License.for.more.
5daa0 64 65 74 61 69 6c 73 2e 0a 0a 20 20 20 59 6f 75 20 73 68 6f 75 6c 64 20 68 61 76 65 20 72 65 63 details......You.should.have.rec
5dac0 65 69 76 65 64 20 61 20 63 6f 70 79 20 6f 66 20 74 68 65 20 47 4e 55 20 47 65 6e 65 72 61 6c 20 eived.a.copy.of.the.GNU.General.
5dae0 50 75 62 6c 69 63 20 4c 69 63 65 6e 73 65 0a 20 20 20 61 6c 6f 6e 67 20 77 69 74 68 20 74 68 69 Public.License....along.with.thi
5db00 73 20 70 72 6f 67 72 61 6d 3b 20 69 66 20 6e 6f 74 2c 20 77 72 69 74 65 20 74 6f 20 74 68 65 20 s.program;.if.not,.write.to.the.
5db20 46 72 65 65 20 53 6f 66 74 77 61 72 65 0a 20 20 20 46 6f 75 6e 64 61 74 69 6f 6e 2c 20 35 31 20 Free.Software....Foundation,.51.
5db40 46 72 61 6e 6b 6c 69 6e 20 53 74 72 65 65 74 20 2d 20 46 69 66 74 68 20 46 6c 6f 6f 72 2c 20 42 Franklin.Street.-.Fifth.Floor,.B
5db60 6f 73 74 6f 6e 2c 20 4d 41 20 30 32 31 31 30 2d 31 33 30 31 2c 20 55 53 41 2e 20 20 2a 2f 0a 0a oston,.MA.02110-1301,.USA...*/..
5db80 23 69 66 6e 64 65 66 20 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 5f 48 0a 23 64 65 66 69 6e 65 20 #ifndef.XTENSA_CONFIG_H.#define.
5dba0 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 5f 48 0a 0a 2f 2a 20 54 68 65 20 6d 61 63 72 6f 73 20 64 XTENSA_CONFIG_H../*.The.macros.d
5dbc0 65 66 69 6e 65 64 20 68 65 72 65 20 6d 61 74 63 68 20 74 68 6f 73 65 20 77 69 74 68 20 74 68 65 efined.here.match.those.with.the
5dbe0 20 73 61 6d 65 20 6e 61 6d 65 73 20 69 6e 20 74 68 65 20 58 74 65 6e 73 61 0a 20 20 20 63 6f 6d .same.names.in.the.Xtensa....com
5dc00 70 69 6c 65 2d 74 69 6d 65 20 48 41 4c 20 28 48 61 72 64 77 61 72 65 20 41 62 73 74 72 61 63 74 pile-time.HAL.(Hardware.Abstract
5dc20 69 6f 6e 20 4c 61 79 65 72 29 2e 20 20 50 6c 65 61 73 65 20 72 65 66 65 72 20 74 6f 20 74 68 65 ion.Layer)...Please.refer.to.the
5dc40 0a 20 20 20 58 74 65 6e 73 61 20 53 79 73 74 65 6d 20 53 6f 66 74 77 61 72 65 20 52 65 66 65 72 ....Xtensa.System.Software.Refer
5dc60 65 6e 63 65 20 4d 61 6e 75 61 6c 20 66 6f 72 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 6f 66 ence.Manual.for.documentation.of
5dc80 20 74 68 65 73 65 0a 20 20 20 6d 61 63 72 6f 73 2e 20 20 2a 2f 0a 0a 23 75 6e 64 65 66 20 58 43 .these....macros...*/..#undef.XC
5dca0 48 41 4c 5f 48 41 56 45 5f 42 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 HAL_HAVE_BE.#define.XCHAL_HAVE_B
5dcc0 45 09 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 4e 53 49 54 59 E...0..#undef.XCHAL_HAVE_DENSITY
5dce0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 4e 53 49 54 59 09 09 31 0a 0a .#define.XCHAL_HAVE_DENSITY..1..
5dd00 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 53 54 31 36 0a 23 64 65 66 69 6e #undef.XCHAL_HAVE_CONST16.#defin
5dd20 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 53 54 31 36 09 09 30 0a 0a 23 75 6e 64 65 66 20 e.XCHAL_HAVE_CONST16..0..#undef.
5dd40 58 43 48 41 4c 5f 48 41 56 45 5f 41 42 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 XCHAL_HAVE_ABS.#define.XCHAL_HAV
5dd60 45 5f 41 42 53 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 41 44 44 E_ABS...1..#undef.XCHAL_HAVE_ADD
5dd80 58 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 41 44 44 58 09 09 09 31 0a 0a 23 X.#define.XCHAL_HAVE_ADDX...1..#
5dda0 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 33 32 52 0a 23 64 65 66 69 6e 65 20 58 43 undef.XCHAL_HAVE_L32R.#define.XC
5ddc0 48 41 4c 5f 48 41 56 45 5f 4c 33 32 52 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 53 48 41 4c 5f HAL_HAVE_L32R...1..#undef.XSHAL_
5dde0 55 53 45 5f 41 42 53 4f 4c 55 54 45 5f 4c 49 54 45 52 41 4c 53 0a 23 64 65 66 69 6e 65 20 58 53 USE_ABSOLUTE_LITERALS.#define.XS
5de00 48 41 4c 5f 55 53 45 5f 41 42 53 4f 4c 55 54 45 5f 4c 49 54 45 52 41 4c 53 09 30 0a 0a 23 75 6e HAL_USE_ABSOLUTE_LITERALS.0..#un
5de20 64 65 66 20 58 53 48 41 4c 5f 48 41 56 45 5f 54 45 58 54 5f 53 45 43 54 49 4f 4e 5f 4c 49 54 45 def.XSHAL_HAVE_TEXT_SECTION_LITE
5de40 52 41 4c 53 0a 23 64 65 66 69 6e 65 20 58 53 48 41 4c 5f 48 41 56 45 5f 54 45 58 54 5f 53 45 43 RALS.#define.XSHAL_HAVE_TEXT_SEC
5de60 54 49 4f 4e 5f 4c 49 54 45 52 41 4c 53 20 31 20 2f 2a 20 53 65 74 20 69 66 20 74 68 65 72 65 20 TION_LITERALS.1./*.Set.if.there.
5de80 69 73 20 73 6f 6d 65 20 6d 65 6d 6f 72 79 20 74 68 61 74 20 61 6c 6c 6f 77 73 20 62 6f 74 68 20 is.some.memory.that.allows.both.
5dea0 63 6f 64 65 20 61 6e 64 20 6c 69 74 65 72 61 6c 73 2e 20 20 2a 2f 0a 0a 23 75 6e 64 65 66 20 58 code.and.literals...*/..#undef.X
5dec0 43 48 41 4c 5f 48 41 56 45 5f 4d 41 43 31 36 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 CHAL_HAVE_MAC16.#define.XCHAL_HA
5dee0 56 45 5f 4d 41 43 31 36 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d VE_MAC16..1..#undef.XCHAL_HAVE_M
5df00 55 4c 31 36 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 31 36 09 09 31 UL16.#define.XCHAL_HAVE_MUL16..1
5df20 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 0a 23 64 65 66 69 6e ..#undef.XCHAL_HAVE_MUL32.#defin
5df40 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 e.XCHAL_HAVE_MUL32..1..#undef.XC
5df60 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 5f 48 49 47 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 HAL_HAVE_MUL32_HIGH.#define.XCHA
5df80 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 5f 48 49 47 48 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 L_HAVE_MUL32_HIGH..0..#undef.XCH
5dfa0 41 4c 5f 48 41 56 45 5f 44 49 56 33 32 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 AL_HAVE_DIV32.#define.XCHAL_HAVE
5dfc0 5f 44 49 56 33 32 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 53 41 _DIV32..1..#undef.XCHAL_HAVE_NSA
5dfe0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 53 41 09 09 09 31 0a 0a 23 75 6e .#define.XCHAL_HAVE_NSA...1..#un
5e000 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 49 4e 4d 41 58 0a 23 64 65 66 69 6e 65 20 58 43 def.XCHAL_HAVE_MINMAX.#define.XC
5e020 48 41 4c 5f 48 41 56 45 5f 4d 49 4e 4d 41 58 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c HAL_HAVE_MINMAX..1..#undef.XCHAL
5e040 5f 48 41 56 45 5f 53 45 58 54 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 45 _HAVE_SEXT.#define.XCHAL_HAVE_SE
5e060 58 54 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 4f 4f 50 53 0a XT...1..#undef.XCHAL_HAVE_LOOPS.
5e080 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 4f 4f 50 53 09 09 31 0a 0a 23 75 6e #define.XCHAL_HAVE_LOOPS..1..#un
5e0a0 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 48 52 45 41 44 50 54 52 0a 23 64 65 66 69 6e 65 def.XCHAL_HAVE_THREADPTR.#define
5e0c0 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 48 52 45 41 44 50 54 52 09 09 31 0a 0a 23 75 6e 64 65 66 .XCHAL_HAVE_THREADPTR..1..#undef
5e0e0 20 58 43 48 41 4c 5f 48 41 56 45 5f 52 45 4c 45 41 53 45 5f 53 59 4e 43 0a 23 64 65 66 69 6e 65 .XCHAL_HAVE_RELEASE_SYNC.#define
5e100 20 58 43 48 41 4c 5f 48 41 56 45 5f 52 45 4c 45 41 53 45 5f 53 59 4e 43 09 09 31 0a 0a 23 75 6e .XCHAL_HAVE_RELEASE_SYNC..1..#un
5e120 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 33 32 43 31 49 0a 23 64 65 66 69 6e 65 20 58 43 def.XCHAL_HAVE_S32C1I.#define.XC
5e140 48 41 4c 5f 48 41 56 45 5f 53 33 32 43 31 49 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c HAL_HAVE_S32C1I..1..#undef.XCHAL
5e160 5f 48 41 56 45 5f 42 4f 4f 4c 45 41 4e 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 _HAVE_BOOLEANS.#define.XCHAL_HAV
5e180 45 5f 42 4f 4f 4c 45 41 4e 53 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 E_BOOLEANS..0..#undef.XCHAL_HAVE
5e1a0 5f 46 50 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 09 09 09 30 0a 0a 23 _FP.#define.XCHAL_HAVE_FP...0..#
5e1c0 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 44 49 56 0a 23 64 65 66 69 6e 65 20 undef.XCHAL_HAVE_FP_DIV.#define.
5e1e0 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 44 49 56 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 XCHAL_HAVE_FP_DIV..0..#undef.XCH
5e200 41 4c 5f 48 41 56 45 5f 46 50 5f 52 45 43 49 50 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 AL_HAVE_FP_RECIP.#define.XCHAL_H
5e220 41 56 45 5f 46 50 5f 52 45 43 49 50 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 AVE_FP_RECIP..0..#undef.XCHAL_HA
5e240 56 45 5f 46 50 5f 53 51 52 54 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 VE_FP_SQRT.#define.XCHAL_HAVE_FP
5e260 5f 53 51 52 54 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 _SQRT..0..#undef.XCHAL_HAVE_FP_R
5e280 53 51 52 54 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 53 51 52 54 SQRT.#define.XCHAL_HAVE_FP_RSQRT
5e2a0 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 41 43 43 45 4c ..0..#undef.XCHAL_HAVE_DFP_ACCEL
5e2c0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 41 43 43 45 4c 09 09 30 .#define.XCHAL_HAVE_DFP_ACCEL..0
5e2e0 0a 2f 2a 20 46 6f 72 20 62 61 63 6b 77 61 72 64 20 63 6f 6d 70 61 74 69 62 69 6c 69 74 79 20 2a ./*.For.backward.compatibility.*
5e300 2f 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 61 63 63 65 6c 0a 23 64 /.#undef.XCHAL_HAVE_DFP_accel.#d
5e320 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 61 63 63 65 6c 09 09 58 43 48 41 efine.XCHAL_HAVE_DFP_accel..XCHA
5e340 4c 5f 48 41 56 45 5f 44 46 50 5f 41 43 43 45 4c 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 L_HAVE_DFP_ACCEL..#undef.XCHAL_H
5e360 41 56 45 5f 57 49 4e 44 4f 57 45 44 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f AVE_WINDOWED.#define.XCHAL_HAVE_
5e380 57 49 4e 44 4f 57 45 44 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4e 55 4d 5f 41 52 WINDOWED..1..#undef.XCHAL_NUM_AR
5e3a0 45 47 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 09 09 09 33 32 EGS.#define.XCHAL_NUM_AREGS...32
5e3c0 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 44 45 5f 42 52 41 4e 43 48 45 ..#undef.XCHAL_HAVE_WIDE_BRANCHE
5e3e0 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 44 45 5f 42 52 41 4e 43 48 S.#define.XCHAL_HAVE_WIDE_BRANCH
5e400 45 53 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 52 45 44 49 43 54 45 ES.0..#undef.XCHAL_HAVE_PREDICTE
5e420 44 5f 42 52 41 4e 43 48 45 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 52 D_BRANCHES.#define.XCHAL_HAVE_PR
5e440 45 44 49 43 54 45 44 5f 42 52 41 4e 43 48 45 53 09 30 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 EDICTED_BRANCHES.0...#undef.XCHA
5e460 4c 5f 49 43 41 43 48 45 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 L_ICACHE_SIZE.#define.XCHAL_ICAC
5e480 48 45 5f 53 49 5a 45 09 09 31 36 33 38 34 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 HE_SIZE..16384..#undef.XCHAL_DCA
5e4a0 43 48 45 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 53 49 CHE_SIZE.#define.XCHAL_DCACHE_SI
5e4c0 5a 45 09 09 31 36 33 38 34 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c ZE..16384..#undef.XCHAL_ICACHE_L
5e4e0 49 4e 45 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 4e INESIZE.#define.XCHAL_ICACHE_LIN
5e500 45 53 49 5a 45 09 09 33 32 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c ESIZE..32..#undef.XCHAL_DCACHE_L
5e520 49 4e 45 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c 49 4e INESIZE.#define.XCHAL_DCACHE_LIN
5e540 45 53 49 5a 45 09 09 33 32 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c ESIZE..32..#undef.XCHAL_ICACHE_L
5e560 49 4e 45 57 49 44 54 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 INEWIDTH.#define.XCHAL_ICACHE_LI
5e580 4e 45 57 49 44 54 48 09 09 35 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f NEWIDTH..5..#undef.XCHAL_DCACHE_
5e5a0 4c 49 4e 45 57 49 44 54 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c LINEWIDTH.#define.XCHAL_DCACHE_L
5e5c0 49 4e 45 57 49 44 54 48 09 09 35 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 43 48 45 INEWIDTH..5..#undef.XCHAL_DCACHE
5e5e0 5f 49 53 5f 57 52 49 54 45 42 41 43 4b 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 _IS_WRITEBACK.#define.XCHAL_DCAC
5e600 48 45 5f 49 53 5f 57 52 49 54 45 42 41 43 4b 09 31 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c HE_IS_WRITEBACK.1...#undef.XCHAL
5e620 5f 48 41 56 45 5f 4d 4d 55 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 4d 55 _HAVE_MMU.#define.XCHAL_HAVE_MMU
5e640 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4d 4d 55 5f 4d 49 4e 5f 50 54 45 5f 50 ...1..#undef.XCHAL_MMU_MIN_PTE_P
5e660 41 47 45 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 4d 49 4e 5f 50 AGE_SIZE.#define.XCHAL_MMU_MIN_P
5e680 54 45 5f 50 41 47 45 5f 53 49 5a 45 09 31 32 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 TE_PAGE_SIZE.12...#undef.XCHAL_H
5e6a0 41 56 45 5f 44 45 42 55 47 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 42 AVE_DEBUG.#define.XCHAL_HAVE_DEB
5e6c0 55 47 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 42 52 45 41 4b 0a 23 UG..1..#undef.XCHAL_NUM_IBREAK.#
5e6e0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 42 52 45 41 4b 09 09 32 0a 0a 23 75 6e 64 define.XCHAL_NUM_IBREAK..2..#und
5e700 65 66 20 58 43 48 41 4c 5f 4e 55 4d 5f 44 42 52 45 41 4b 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ef.XCHAL_NUM_DBREAK.#define.XCHA
5e720 4c 5f 4e 55 4d 5f 44 42 52 45 41 4b 09 09 32 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 45 L_NUM_DBREAK..2..#undef.XCHAL_DE
5e740 42 55 47 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 4c 45 56 45 BUGLEVEL.#define.XCHAL_DEBUGLEVE
5e760 4c 09 09 36 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4d 41 58 5f 49 4e 53 54 52 55 43 54 L..6...#undef.XCHAL_MAX_INSTRUCT
5e780 49 4f 4e 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 41 58 5f 49 4e 53 54 52 ION_SIZE.#define.XCHAL_MAX_INSTR
5e7a0 55 43 54 49 4f 4e 5f 53 49 5a 45 09 33 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 49 4e 53 54 UCTION_SIZE.3..#undef.XCHAL_INST
5e7c0 5f 46 45 54 43 48 5f 57 49 44 54 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 53 54 5f _FETCH_WIDTH.#define.XCHAL_INST_
5e7e0 46 45 54 43 48 5f 57 49 44 54 48 09 09 34 0a 0a 0a 23 75 6e 64 65 66 20 58 53 48 41 4c 5f 41 42 FETCH_WIDTH..4...#undef.XSHAL_AB
5e800 49 0a 23 75 6e 64 65 66 20 58 54 48 41 4c 5f 41 42 49 5f 57 49 4e 44 4f 57 45 44 0a 23 75 6e 64 I.#undef.XTHAL_ABI_WINDOWED.#und
5e820 65 66 20 58 54 48 41 4c 5f 41 42 49 5f 43 41 4c 4c 30 0a 23 64 65 66 69 6e 65 20 58 53 48 41 4c ef.XTHAL_ABI_CALL0.#define.XSHAL
5e840 5f 41 42 49 09 09 09 58 54 48 41 4c 5f 41 42 49 5f 43 41 4c 4c 30 0a 23 64 65 66 69 6e 65 20 58 _ABI...XTHAL_ABI_CALL0.#define.X
5e860 54 48 41 4c 5f 41 42 49 5f 57 49 4e 44 4f 57 45 44 09 09 30 0a 23 64 65 66 69 6e 65 20 58 54 48 THAL_ABI_WINDOWED..0.#define.XTH
5e880 41 4c 5f 41 42 49 5f 43 41 4c 4c 30 09 09 09 31 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f AL_ABI_CALL0...1...#undef.XCHAL_
5e8a0 4d 5f 53 54 41 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 5f 53 54 41 47 45 09 09 09 M_STAGE.#define.XCHAL_M_STAGE...
5e8c0 32 0a 0a 23 75 6e 64 65 66 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 4c 41 54 45 53 54 0a 23 64 2..#undef.XTENSA_MARCH_LATEST.#d
5e8e0 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 4c 41 54 45 53 54 09 09 32 36 30 30 30 efine.XTENSA_MARCH_LATEST..26000
5e900 34 0a 0a 23 75 6e 64 65 66 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 45 41 52 4c 49 45 53 54 0a 4..#undef.XTENSA_MARCH_EARLIEST.
5e920 23 64 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 45 41 52 4c 49 45 53 54 09 09 32 #define.XTENSA_MARCH_EARLIEST..2
5e940 36 30 30 30 34 0a 0a 0a 23 65 6e 64 69 66 20 2f 2a 20 21 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 60004...#endif./*.!XTENSA_CONFIG
5e960 5f 48 20 2a 2f 0a 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 _H.*/...........................
5e980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5e9a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5e9c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5e9e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ea00 67 64 62 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 gdb/............................
5ea20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ea40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ea60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
5ea80 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 31 37 34 37 00 20 35 00 00 00 0000000.13013421467.011747..5...
5eaa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5eac0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5eae0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5eb00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
5eb20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
5eb40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5eb60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5eb80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5eba0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ebc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ebe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ec00 67 64 62 2f 67 64 62 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 gdb/gdb/........................
5ec20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ec40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ec60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
5ec80 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 32 35 30 33 00 20 35 00 00 00 0000000.13013421467.012503..5...
5eca0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ecc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ece0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ed00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
5ed20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
5ed40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ed60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ed80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5eda0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5edc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ede0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ee00 67 64 62 2f 67 64 62 2f 78 74 65 6e 73 61 2d 78 74 72 65 67 73 2e 63 00 00 00 00 00 00 00 00 00 gdb/gdb/xtensa-xtregs.c.........
5ee20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ee40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ee60 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
5ee80 30 30 30 33 35 33 36 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 35 34 37 32 00 20 30 00 00 00 0003536.13013421467.015472..0...
5eea0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5eec0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5eee0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ef00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
5ef20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
5ef40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ef60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5ef80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5efa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5efc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5efe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f000 2f 2a 20 43 75 73 74 6f 6d 69 7a 65 64 20 74 61 62 6c 65 20 6d 61 70 70 69 6e 67 20 62 65 74 77 /*.Customized.table.mapping.betw
5f020 65 65 6e 20 6b 65 72 6e 65 6c 20 78 74 72 65 67 73 65 74 20 61 6e 64 20 47 44 42 20 72 65 67 69 een.kernel.xtregset.and.GDB.regi
5f040 73 74 65 72 20 63 61 63 68 65 2e 0a 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 28 63 29 20 32 30 ster.cache......Copyright.(c).20
5f060 30 37 2d 32 30 31 30 20 54 65 6e 73 69 6c 69 63 61 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 07-2010.Tensilica.Inc......Permi
5f080 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 79 20 67 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 ssion.is.hereby.granted,.free.of
5f0a0 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e 79 20 70 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 .charge,.to.any.person.obtaining
5f0c0 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 74 68 69 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 ....a.copy.of.this.software.and.
5f0e0 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 associated.documentation.files.(
5f100 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 72 65 22 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 the...."Software"),.to.deal.in.t
5f120 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 74 68 6f 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c he.Software.without.restriction,
5f140 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 77 69 74 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e .including....without.limitation
5f160 20 74 68 65 20 72 69 67 68 74 73 20 74 6f 20 75 73 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 .the.rights.to.use,.copy,.modify
5f180 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 73 68 2c 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c ,.merge,.publish,....distribute,
5f1a0 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 .sublicense,.and/or.sell.copies.
5f1c0 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2c 20 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 of.the.Software,.and.to....permi
5f1e0 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 68 6f 6d 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 t.persons.to.whom.the.Software.i
5f200 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f 20 64 6f 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f s.furnished.to.do.so,.subject.to
5f220 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 69 6e 67 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 ....the.following.conditions:...
5f240 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f 70 79 72 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 ..The.above.copyright.notice.and
5f260 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 6f 6e 20 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 .this.permission.notice.shall.be
5f280 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 6e 20 61 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 .included....in.all.copies.or.su
5f2a0 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 69 6f 6e 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 bstantial.portions.of.the.Softwa
5f2c0 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f 46 54 57 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 re......THE.SOFTWARE.IS.PROVIDED
5f2e0 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 4f 55 54 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e ."AS.IS",.WITHOUT.WARRANTY.OF.AN
5f300 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 52 45 53 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 Y.KIND,....EXPRESS.OR.IMPLIED,.I
5f320 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e 4f 54 20 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 NCLUDING.BUT.NOT.LIMITED.TO.THE.
5f340 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a 20 20 20 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 WARRANTIES.OF....MERCHANTABILITY
5f360 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f ,.FITNESS.FOR.A.PARTICULAR.PURPO
5f380 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f SE.AND.NONINFRINGEMENT.....IN.NO
5f3a0 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 48 45 20 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 .EVENT.SHALL.THE.AUTHORS.OR.COPY
5f3c0 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 42 45 20 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a RIGHT.HOLDERS.BE.LIABLE.FOR.ANY.
5f3e0 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 47 45 53 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 ...CLAIM,.DAMAGES.OR.OTHER.LIABI
5f400 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 49 4e 20 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f LITY,.WHETHER.IN.AN.ACTION.OF.CO
5f420 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 54 20 4f 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 NTRACT,....TORT.OR.OTHERWISE,.AR
5f440 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 54 20 4f 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 ISING.FROM,.OUT.OF.OR.IN.CONNECT
5f460 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 20 20 53 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 ION.WITH.THE....SOFTWARE.OR.THE.
5f480 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 45 41 4c 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 USE.OR.OTHER.DEALINGS.IN.THE.SOF
5f4a0 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 0a 74 79 70 65 64 65 66 20 73 74 72 75 63 74 20 7b 0a 20 20 TWARE...*/...typedef.struct.{...
5f4c0 69 6e 74 20 20 20 67 64 62 5f 72 65 67 6e 75 6d 3b 0a 20 20 69 6e 74 20 20 20 67 64 62 5f 6f 66 int...gdb_regnum;...int...gdb_of
5f4e0 66 73 65 74 3b 0a 20 20 69 6e 74 20 20 20 70 74 72 61 63 65 5f 63 70 5f 6f 66 66 73 65 74 3b 0a fset;...int...ptrace_cp_offset;.
5f500 20 20 69 6e 74 20 20 20 70 74 72 61 63 65 5f 6f 66 66 73 65 74 3b 0a 20 20 69 6e 74 20 20 20 73 ..int...ptrace_offset;...int...s
5f520 69 7a 65 3b 0a 20 20 69 6e 74 20 20 20 63 6f 70 72 6f 63 3b 0a 20 20 69 6e 74 20 20 20 64 62 6e ize;...int...coproc;...int...dbn
5f540 75 6d 3b 0a 20 20 63 68 61 72 2a 20 6e 61 6d 65 0a 3b 7d 20 78 74 65 6e 73 61 5f 72 65 67 74 61 um;...char*.name.;}.xtensa_regta
5f560 62 6c 65 5f 74 3b 0a 0a 23 64 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 45 4c 46 5f 58 54 52 45 47 ble_t;..#define.XTENSA_ELF_XTREG
5f580 5f 53 49 5a 45 09 32 38 0a 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 72 65 67 74 61 62 6c 65 5f _SIZE.28..const.xtensa_regtable_
5f5a0 74 20 20 78 74 65 6e 73 61 5f 72 65 67 6d 61 70 5f 74 61 62 6c 65 5b 5d 20 3d 20 7b 0a 20 20 2f t..xtensa_regmap_table[].=.{.../
5f5c0 2a 20 67 6e 75 6d 2c 67 6f 66 73 2c 63 70 6f 66 73 2c 6f 66 73 2c 73 69 7a 2c 63 70 2c 20 64 62 *.gnum,gofs,cpofs,ofs,siz,cp,.db
5f5e0 6e 75 6d 2c 20 20 6e 61 6d 65 20 2a 2f 0a 20 20 7b 20 20 20 35 39 2c 20 32 33 36 2c 20 20 20 38 num,..name.*/...{...59,.236,...8
5f600 2c 20 20 20 38 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 30 63 2c 20 22 73 63 6f 6d 70 61 72 65 ,...8,..4,.-1,.0x020c,."scompare
5f620 31 22 20 7d 2c 0a 20 20 7b 20 20 20 36 30 2c 20 32 34 30 2c 20 20 20 30 2c 20 20 20 30 2c 20 20 1".},...{...60,.240,...0,...0,..
5f640 34 2c 20 2d 31 2c 20 30 78 30 32 31 30 2c 20 22 61 63 63 6c 6f 22 20 7d 2c 0a 20 20 7b 20 20 20 4,.-1,.0x0210,."acclo".},...{...
5f660 36 31 2c 20 32 34 34 2c 20 20 20 34 2c 20 20 20 34 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 31 61,.244,...4,...4,..4,.-1,.0x021
5f680 31 2c 20 22 61 63 63 68 69 22 20 7d 2c 0a 20 20 7b 20 20 20 36 32 2c 20 32 34 38 2c 20 20 31 32 1,."acchi".},...{...62,.248,..12
5f6a0 2c 20 20 31 32 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 32 30 2c 20 22 6d 30 22 20 7d 2c 0a 20 ,..12,..4,.-1,.0x0220,."m0".},..
5f6c0 20 7b 20 20 20 36 33 2c 20 32 35 32 2c 20 20 31 36 2c 20 20 31 36 2c 20 20 34 2c 20 2d 31 2c 20 .{...63,.252,..16,..16,..4,.-1,.
5f6e0 30 78 30 32 32 31 2c 20 22 6d 31 22 20 7d 2c 0a 20 20 7b 20 20 20 36 34 2c 20 32 35 36 2c 20 20 0x0221,."m1".},...{...64,.256,..
5f700 32 30 2c 20 20 32 30 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 32 32 2c 20 22 6d 32 22 20 7d 2c 20,..20,..4,.-1,.0x0222,."m2".},
5f720 0a 20 20 7b 20 20 20 36 35 2c 20 32 36 30 2c 20 20 32 34 2c 20 20 32 34 2c 20 20 34 2c 20 2d 31 ...{...65,.260,..24,..24,..4,.-1
5f740 2c 20 30 78 30 32 32 33 2c 20 22 6d 33 22 20 7d 2c 0a 20 20 7b 20 30 20 7d 0a 7d 3b 0a 0a 00 00 ,.0x0223,."m3".},...{.0.}.};....
5f760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f7a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f7c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f7e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f800 67 64 62 2f 67 64 62 2f 67 64 62 73 65 72 76 65 72 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 gdb/gdb/gdbserver/..............
5f820 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f860 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
5f880 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 34 34 36 36 00 20 35 00 00 00 0000000.13013421467.014466..5...
5f8a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f8c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f8e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f900 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
5f920 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
5f940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f9a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f9c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5f9e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fa00 67 64 62 2f 67 64 62 2f 67 64 62 73 65 72 76 65 72 2f 78 74 65 6e 73 61 2d 72 65 67 6d 61 70 2e gdb/gdb/gdbserver/xtensa-regmap.
5fa20 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 c...............................
5fa40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fa60 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
5fa80 30 30 30 33 35 33 36 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 37 34 31 34 00 20 30 00 00 00 0003536.13013421467.017414..0...
5faa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fac0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fae0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fb00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
5fb20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
5fb40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fb60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fb80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fba0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fbc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fbe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
5fc00 2f 2a 20 43 75 73 74 6f 6d 69 7a 65 64 20 74 61 62 6c 65 20 6d 61 70 70 69 6e 67 20 62 65 74 77 /*.Customized.table.mapping.betw
5fc20 65 65 6e 20 6b 65 72 6e 65 6c 20 78 74 72 65 67 73 65 74 20 61 6e 64 20 47 44 42 20 72 65 67 69 een.kernel.xtregset.and.GDB.regi
5fc40 73 74 65 72 20 63 61 63 68 65 2e 0a 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 28 63 29 20 32 30 ster.cache......Copyright.(c).20
5fc60 30 37 2d 32 30 31 30 20 54 65 6e 73 69 6c 69 63 61 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 07-2010.Tensilica.Inc......Permi
5fc80 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 79 20 67 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 ssion.is.hereby.granted,.free.of
5fca0 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e 79 20 70 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 .charge,.to.any.person.obtaining
5fcc0 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 74 68 69 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 ....a.copy.of.this.software.and.
5fce0 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 associated.documentation.files.(
5fd00 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 72 65 22 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 the...."Software"),.to.deal.in.t
5fd20 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 74 68 6f 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c he.Software.without.restriction,
5fd40 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 77 69 74 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e .including....without.limitation
5fd60 20 74 68 65 20 72 69 67 68 74 73 20 74 6f 20 75 73 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 .the.rights.to.use,.copy,.modify
5fd80 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 73 68 2c 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c ,.merge,.publish,....distribute,
5fda0 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 .sublicense,.and/or.sell.copies.
5fdc0 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2c 20 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 of.the.Software,.and.to....permi
5fde0 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 68 6f 6d 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 t.persons.to.whom.the.Software.i
5fe00 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f 20 64 6f 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f s.furnished.to.do.so,.subject.to
5fe20 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 69 6e 67 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 ....the.following.conditions:...
5fe40 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f 70 79 72 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 ..The.above.copyright.notice.and
5fe60 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 6f 6e 20 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 .this.permission.notice.shall.be
5fe80 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 6e 20 61 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 .included....in.all.copies.or.su
5fea0 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 69 6f 6e 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 bstantial.portions.of.the.Softwa
5fec0 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f 46 54 57 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 re......THE.SOFTWARE.IS.PROVIDED
5fee0 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 4f 55 54 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e ."AS.IS",.WITHOUT.WARRANTY.OF.AN
5ff00 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 52 45 53 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 Y.KIND,....EXPRESS.OR.IMPLIED,.I
5ff20 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e 4f 54 20 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 NCLUDING.BUT.NOT.LIMITED.TO.THE.
5ff40 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a 20 20 20 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 WARRANTIES.OF....MERCHANTABILITY
5ff60 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f ,.FITNESS.FOR.A.PARTICULAR.PURPO
5ff80 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f SE.AND.NONINFRINGEMENT.....IN.NO
5ffa0 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 48 45 20 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 .EVENT.SHALL.THE.AUTHORS.OR.COPY
5ffc0 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 42 45 20 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a RIGHT.HOLDERS.BE.LIABLE.FOR.ANY.
5ffe0 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 47 45 53 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 ...CLAIM,.DAMAGES.OR.OTHER.LIABI
60000 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 49 4e 20 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f LITY,.WHETHER.IN.AN.ACTION.OF.CO
60020 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 54 20 4f 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 NTRACT,....TORT.OR.OTHERWISE,.AR
60040 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 54 20 4f 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 ISING.FROM,.OUT.OF.OR.IN.CONNECT
60060 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 20 20 53 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 ION.WITH.THE....SOFTWARE.OR.THE.
60080 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 45 41 4c 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 USE.OR.OTHER.DEALINGS.IN.THE.SOF
600a0 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 0a 74 79 70 65 64 65 66 20 73 74 72 75 63 74 20 7b 0a 20 20 TWARE...*/...typedef.struct.{...
600c0 69 6e 74 20 20 20 67 64 62 5f 72 65 67 6e 75 6d 3b 0a 20 20 69 6e 74 20 20 20 67 64 62 5f 6f 66 int...gdb_regnum;...int...gdb_of
600e0 66 73 65 74 3b 0a 20 20 69 6e 74 20 20 20 70 74 72 61 63 65 5f 63 70 5f 6f 66 66 73 65 74 3b 0a fset;...int...ptrace_cp_offset;.
60100 20 20 69 6e 74 20 20 20 70 74 72 61 63 65 5f 6f 66 66 73 65 74 3b 0a 20 20 69 6e 74 20 20 20 73 ..int...ptrace_offset;...int...s
60120 69 7a 65 3b 0a 20 20 69 6e 74 20 20 20 63 6f 70 72 6f 63 3b 0a 20 20 69 6e 74 20 20 20 64 62 6e ize;...int...coproc;...int...dbn
60140 75 6d 3b 0a 20 20 63 68 61 72 2a 20 6e 61 6d 65 0a 3b 7d 20 78 74 65 6e 73 61 5f 72 65 67 74 61 um;...char*.name.;}.xtensa_regta
60160 62 6c 65 5f 74 3b 0a 0a 23 64 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 45 4c 46 5f 58 54 52 45 47 ble_t;..#define.XTENSA_ELF_XTREG
60180 5f 53 49 5a 45 09 32 38 0a 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 72 65 67 74 61 62 6c 65 5f _SIZE.28..const.xtensa_regtable_
601a0 74 20 20 78 74 65 6e 73 61 5f 72 65 67 6d 61 70 5f 74 61 62 6c 65 5b 5d 20 3d 20 7b 0a 20 20 2f t..xtensa_regmap_table[].=.{.../
601c0 2a 20 67 6e 75 6d 2c 67 6f 66 73 2c 63 70 6f 66 73 2c 6f 66 73 2c 73 69 7a 2c 63 70 2c 20 64 62 *.gnum,gofs,cpofs,ofs,siz,cp,.db
601e0 6e 75 6d 2c 20 20 6e 61 6d 65 20 2a 2f 0a 20 20 7b 20 20 20 35 39 2c 20 32 33 36 2c 20 20 20 38 num,..name.*/...{...59,.236,...8
60200 2c 20 20 20 38 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 30 63 2c 20 22 73 63 6f 6d 70 61 72 65 ,...8,..4,.-1,.0x020c,."scompare
60220 31 22 20 7d 2c 0a 20 20 7b 20 20 20 36 30 2c 20 32 34 30 2c 20 20 20 30 2c 20 20 20 30 2c 20 20 1".},...{...60,.240,...0,...0,..
60240 34 2c 20 2d 31 2c 20 30 78 30 32 31 30 2c 20 22 61 63 63 6c 6f 22 20 7d 2c 0a 20 20 7b 20 20 20 4,.-1,.0x0210,."acclo".},...{...
60260 36 31 2c 20 32 34 34 2c 20 20 20 34 2c 20 20 20 34 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 31 61,.244,...4,...4,..4,.-1,.0x021
60280 31 2c 20 22 61 63 63 68 69 22 20 7d 2c 0a 20 20 7b 20 20 20 36 32 2c 20 32 34 38 2c 20 20 31 32 1,."acchi".},...{...62,.248,..12
602a0 2c 20 20 31 32 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 32 30 2c 20 22 6d 30 22 20 7d 2c 0a 20 ,..12,..4,.-1,.0x0220,."m0".},..
602c0 20 7b 20 20 20 36 33 2c 20 32 35 32 2c 20 20 31 36 2c 20 20 31 36 2c 20 20 34 2c 20 2d 31 2c 20 .{...63,.252,..16,..16,..4,.-1,.
602e0 30 78 30 32 32 31 2c 20 22 6d 31 22 20 7d 2c 0a 20 20 7b 20 20 20 36 34 2c 20 32 35 36 2c 20 20 0x0221,."m1".},...{...64,.256,..
60300 32 30 2c 20 20 32 30 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 32 32 2c 20 22 6d 32 22 20 7d 2c 20,..20,..4,.-1,.0x0222,."m2".},
60320 0a 20 20 7b 20 20 20 36 35 2c 20 32 36 30 2c 20 20 32 34 2c 20 20 32 34 2c 20 20 34 2c 20 2d 31 ...{...65,.260,..24,..24,..4,.-1
60340 2c 20 30 78 30 32 32 33 2c 20 22 6d 33 22 20 7d 2c 0a 20 20 7b 20 30 20 7d 0a 7d 3b 0a 0a 00 00 ,.0x0223,."m3".},...{.0.}.};....
60360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
603a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
603c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
603e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60400 67 64 62 2f 67 64 62 2f 67 64 62 73 65 72 76 65 72 2f 78 74 65 6e 73 61 2d 78 74 72 65 67 73 2e gdb/gdb/gdbserver/xtensa-xtregs.
60420 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 c...............................
60440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60460 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
60480 30 30 30 33 35 33 36 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 37 34 35 35 00 20 30 00 00 00 0003536.13013421467.017455..0...
604a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
604c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
604e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60500 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
60520 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
60540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
605a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
605c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
605e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60600 2f 2a 20 43 75 73 74 6f 6d 69 7a 65 64 20 74 61 62 6c 65 20 6d 61 70 70 69 6e 67 20 62 65 74 77 /*.Customized.table.mapping.betw
60620 65 65 6e 20 6b 65 72 6e 65 6c 20 78 74 72 65 67 73 65 74 20 61 6e 64 20 47 44 42 20 72 65 67 69 een.kernel.xtregset.and.GDB.regi
60640 73 74 65 72 20 63 61 63 68 65 2e 0a 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 28 63 29 20 32 30 ster.cache......Copyright.(c).20
60660 30 37 2d 32 30 31 30 20 54 65 6e 73 69 6c 69 63 61 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 07-2010.Tensilica.Inc......Permi
60680 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 79 20 67 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 ssion.is.hereby.granted,.free.of
606a0 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e 79 20 70 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 .charge,.to.any.person.obtaining
606c0 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 74 68 69 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 ....a.copy.of.this.software.and.
606e0 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 associated.documentation.files.(
60700 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 72 65 22 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 the...."Software"),.to.deal.in.t
60720 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 74 68 6f 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c he.Software.without.restriction,
60740 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 77 69 74 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e .including....without.limitation
60760 20 74 68 65 20 72 69 67 68 74 73 20 74 6f 20 75 73 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 .the.rights.to.use,.copy,.modify
60780 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 73 68 2c 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c ,.merge,.publish,....distribute,
607a0 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 .sublicense,.and/or.sell.copies.
607c0 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2c 20 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 of.the.Software,.and.to....permi
607e0 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 68 6f 6d 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 t.persons.to.whom.the.Software.i
60800 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f 20 64 6f 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f s.furnished.to.do.so,.subject.to
60820 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 69 6e 67 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 ....the.following.conditions:...
60840 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f 70 79 72 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 ..The.above.copyright.notice.and
60860 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 6f 6e 20 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 .this.permission.notice.shall.be
60880 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 6e 20 61 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 .included....in.all.copies.or.su
608a0 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 69 6f 6e 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 bstantial.portions.of.the.Softwa
608c0 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f 46 54 57 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 re......THE.SOFTWARE.IS.PROVIDED
608e0 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 4f 55 54 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e ."AS.IS",.WITHOUT.WARRANTY.OF.AN
60900 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 52 45 53 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 Y.KIND,....EXPRESS.OR.IMPLIED,.I
60920 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e 4f 54 20 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 NCLUDING.BUT.NOT.LIMITED.TO.THE.
60940 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a 20 20 20 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 WARRANTIES.OF....MERCHANTABILITY
60960 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f ,.FITNESS.FOR.A.PARTICULAR.PURPO
60980 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f SE.AND.NONINFRINGEMENT.....IN.NO
609a0 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 48 45 20 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 .EVENT.SHALL.THE.AUTHORS.OR.COPY
609c0 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 42 45 20 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a RIGHT.HOLDERS.BE.LIABLE.FOR.ANY.
609e0 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 47 45 53 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 ...CLAIM,.DAMAGES.OR.OTHER.LIABI
60a00 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 49 4e 20 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f LITY,.WHETHER.IN.AN.ACTION.OF.CO
60a20 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 54 20 4f 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 NTRACT,....TORT.OR.OTHERWISE,.AR
60a40 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 54 20 4f 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 ISING.FROM,.OUT.OF.OR.IN.CONNECT
60a60 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 20 20 53 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 ION.WITH.THE....SOFTWARE.OR.THE.
60a80 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 45 41 4c 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 USE.OR.OTHER.DEALINGS.IN.THE.SOF
60aa0 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 0a 74 79 70 65 64 65 66 20 73 74 72 75 63 74 20 7b 0a 20 20 TWARE...*/...typedef.struct.{...
60ac0 69 6e 74 20 20 20 67 64 62 5f 72 65 67 6e 75 6d 3b 0a 20 20 69 6e 74 20 20 20 67 64 62 5f 6f 66 int...gdb_regnum;...int...gdb_of
60ae0 66 73 65 74 3b 0a 20 20 69 6e 74 20 20 20 70 74 72 61 63 65 5f 63 70 5f 6f 66 66 73 65 74 3b 0a fset;...int...ptrace_cp_offset;.
60b00 20 20 69 6e 74 20 20 20 70 74 72 61 63 65 5f 6f 66 66 73 65 74 3b 0a 20 20 69 6e 74 20 20 20 73 ..int...ptrace_offset;...int...s
60b20 69 7a 65 3b 0a 20 20 69 6e 74 20 20 20 63 6f 70 72 6f 63 3b 0a 20 20 69 6e 74 20 20 20 64 62 6e ize;...int...coproc;...int...dbn
60b40 75 6d 3b 0a 20 20 63 68 61 72 2a 20 6e 61 6d 65 0a 3b 7d 20 78 74 65 6e 73 61 5f 72 65 67 74 61 um;...char*.name.;}.xtensa_regta
60b60 62 6c 65 5f 74 3b 0a 0a 23 64 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 45 4c 46 5f 58 54 52 45 47 ble_t;..#define.XTENSA_ELF_XTREG
60b80 5f 53 49 5a 45 09 32 38 0a 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 72 65 67 74 61 62 6c 65 5f _SIZE.28..const.xtensa_regtable_
60ba0 74 20 20 78 74 65 6e 73 61 5f 72 65 67 6d 61 70 5f 74 61 62 6c 65 5b 5d 20 3d 20 7b 0a 20 20 2f t..xtensa_regmap_table[].=.{.../
60bc0 2a 20 67 6e 75 6d 2c 67 6f 66 73 2c 63 70 6f 66 73 2c 6f 66 73 2c 73 69 7a 2c 63 70 2c 20 64 62 *.gnum,gofs,cpofs,ofs,siz,cp,.db
60be0 6e 75 6d 2c 20 20 6e 61 6d 65 20 2a 2f 0a 20 20 7b 20 20 20 35 39 2c 20 32 33 36 2c 20 20 20 38 num,..name.*/...{...59,.236,...8
60c00 2c 20 20 20 38 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 30 63 2c 20 22 73 63 6f 6d 70 61 72 65 ,...8,..4,.-1,.0x020c,."scompare
60c20 31 22 20 7d 2c 0a 20 20 7b 20 20 20 36 30 2c 20 32 34 30 2c 20 20 20 30 2c 20 20 20 30 2c 20 20 1".},...{...60,.240,...0,...0,..
60c40 34 2c 20 2d 31 2c 20 30 78 30 32 31 30 2c 20 22 61 63 63 6c 6f 22 20 7d 2c 0a 20 20 7b 20 20 20 4,.-1,.0x0210,."acclo".},...{...
60c60 36 31 2c 20 32 34 34 2c 20 20 20 34 2c 20 20 20 34 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 31 61,.244,...4,...4,..4,.-1,.0x021
60c80 31 2c 20 22 61 63 63 68 69 22 20 7d 2c 0a 20 20 7b 20 20 20 36 32 2c 20 32 34 38 2c 20 20 31 32 1,."acchi".},...{...62,.248,..12
60ca0 2c 20 20 31 32 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 32 30 2c 20 22 6d 30 22 20 7d 2c 0a 20 ,..12,..4,.-1,.0x0220,."m0".},..
60cc0 20 7b 20 20 20 36 33 2c 20 32 35 32 2c 20 20 31 36 2c 20 20 31 36 2c 20 20 34 2c 20 2d 31 2c 20 .{...63,.252,..16,..16,..4,.-1,.
60ce0 30 78 30 32 32 31 2c 20 22 6d 31 22 20 7d 2c 0a 20 20 7b 20 20 20 36 34 2c 20 32 35 36 2c 20 20 0x0221,."m1".},...{...64,.256,..
60d00 32 30 2c 20 20 32 30 2c 20 20 34 2c 20 2d 31 2c 20 30 78 30 32 32 32 2c 20 22 6d 32 22 20 7d 2c 20,..20,..4,.-1,.0x0222,."m2".},
60d20 0a 20 20 7b 20 20 20 36 35 2c 20 32 36 30 2c 20 20 32 34 2c 20 20 32 34 2c 20 20 34 2c 20 2d 31 ...{...65,.260,..24,..24,..4,.-1
60d40 2c 20 30 78 30 32 32 33 2c 20 22 6d 33 22 20 7d 2c 0a 20 20 7b 20 30 20 7d 0a 7d 3b 0a 0a 00 00 ,.0x0223,."m3".},...{.0.}.};....
60d60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60d80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60da0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60dc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60de0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60e00 67 64 62 2f 67 64 62 2f 72 65 67 66 6f 72 6d 61 74 73 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 gdb/gdb/regformats/.............
60e20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60e40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60e60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
60e80 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 34 36 35 34 00 20 35 00 00 00 0000000.13013421467.014654..5...
60ea0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60ec0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60ee0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60f00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
60f20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
60f40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60f60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60f80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60fa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60fc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
60fe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61000 67 64 62 2f 67 64 62 2f 72 65 67 66 6f 72 6d 61 74 73 2f 72 65 67 2d 78 74 65 6e 73 61 2e 64 61 gdb/gdb/regformats/reg-xtensa.da
61020 74 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 t...............................
61040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61060 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
61080 30 30 30 31 30 37 37 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 37 34 33 30 00 20 30 00 00 00 0001077.13013421467.017430..0...
610a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
610c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
610e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61100 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
61120 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
61140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
611a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
611c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
611e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61200 6e 61 6d 65 3a 78 74 65 6e 73 61 0a 65 78 70 65 64 69 74 65 3a 70 63 2c 77 69 6e 64 6f 77 62 61 name:xtensa.expedite:pc,windowba
61220 73 65 2c 77 69 6e 64 6f 77 73 74 61 72 74 0a 33 32 3a 61 30 0a 33 32 3a 61 31 0a 33 32 3a 61 32 se,windowstart.32:a0.32:a1.32:a2
61240 0a 33 32 3a 61 33 0a 33 32 3a 61 34 0a 33 32 3a 61 35 0a 33 32 3a 61 36 0a 33 32 3a 61 37 0a 33 .32:a3.32:a4.32:a5.32:a6.32:a7.3
61260 32 3a 61 38 0a 33 32 3a 61 39 0a 33 32 3a 61 31 30 0a 33 32 3a 61 31 31 0a 33 32 3a 61 31 32 0a 2:a8.32:a9.32:a10.32:a11.32:a12.
61280 33 32 3a 61 31 33 0a 33 32 3a 61 31 34 0a 33 32 3a 61 31 35 0a 33 32 3a 70 63 0a 33 32 3a 61 72 32:a13.32:a14.32:a15.32:pc.32:ar
612a0 30 0a 33 32 3a 61 72 31 0a 33 32 3a 61 72 32 0a 33 32 3a 61 72 33 0a 33 32 3a 61 72 34 0a 33 32 0.32:ar1.32:ar2.32:ar3.32:ar4.32
612c0 3a 61 72 35 0a 33 32 3a 61 72 36 0a 33 32 3a 61 72 37 0a 33 32 3a 61 72 38 0a 33 32 3a 61 72 39 :ar5.32:ar6.32:ar7.32:ar8.32:ar9
612e0 0a 33 32 3a 61 72 31 30 0a 33 32 3a 61 72 31 31 0a 33 32 3a 61 72 31 32 0a 33 32 3a 61 72 31 33 .32:ar10.32:ar11.32:ar12.32:ar13
61300 0a 33 32 3a 61 72 31 34 0a 33 32 3a 61 72 31 35 0a 33 32 3a 61 72 31 36 0a 33 32 3a 61 72 31 37 .32:ar14.32:ar15.32:ar16.32:ar17
61320 0a 33 32 3a 61 72 31 38 0a 33 32 3a 61 72 31 39 0a 33 32 3a 61 72 32 30 0a 33 32 3a 61 72 32 31 .32:ar18.32:ar19.32:ar20.32:ar21
61340 0a 33 32 3a 61 72 32 32 0a 33 32 3a 61 72 32 33 0a 33 32 3a 61 72 32 34 0a 33 32 3a 61 72 32 35 .32:ar22.32:ar23.32:ar24.32:ar25
61360 0a 33 32 3a 61 72 32 36 0a 33 32 3a 61 72 32 37 0a 33 32 3a 61 72 32 38 0a 33 32 3a 61 72 32 39 .32:ar26.32:ar27.32:ar28.32:ar29
61380 0a 33 32 3a 61 72 33 30 0a 33 32 3a 61 72 33 31 0a 33 32 3a 6c 62 65 67 0a 33 32 3a 6c 65 6e 64 .32:ar30.32:ar31.32:lbeg.32:lend
613a0 0a 33 32 3a 6c 63 6f 75 6e 74 0a 33 32 3a 73 61 72 0a 33 32 3a 77 69 6e 64 6f 77 62 61 73 65 0a .32:lcount.32:sar.32:windowbase.
613c0 33 32 3a 77 69 6e 64 6f 77 73 74 61 72 74 0a 33 32 3a 63 6f 6e 66 69 67 69 64 30 0a 33 32 3a 63 32:windowstart.32:configid0.32:c
613e0 6f 6e 66 69 67 69 64 31 0a 33 32 3a 70 73 0a 33 32 3a 74 68 72 65 61 64 70 74 72 0a 33 32 3a 73 onfigid1.32:ps.32:threadptr.32:s
61400 63 6f 6d 70 61 72 65 31 0a 33 32 3a 61 63 63 6c 6f 0a 33 32 3a 61 63 63 68 69 0a 33 32 3a 6d 30 compare1.32:acclo.32:acchi.32:m0
61420 0a 33 32 3a 6d 31 0a 33 32 3a 6d 32 0a 33 32 3a 6d 33 0a 33 32 3a 65 78 70 73 74 61 74 65 0a 00 .32:m1.32:m2.32:m3.32:expstate..
61440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
614a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
614c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
614e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61500 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61520 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
615a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
615c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
615e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61600 67 64 62 2f 67 64 62 2f 78 74 65 6e 73 61 2d 63 6f 6e 66 69 67 2e 63 00 00 00 00 00 00 00 00 00 gdb/gdb/xtensa-config.c.........
61620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61660 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
61680 30 30 33 35 31 30 31 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 35 34 31 34 00 20 30 00 00 00 0035101.13013421467.015414..0...
616a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
616c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
616e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61700 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
61720 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
61740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
617a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
617c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
617e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
61800 2f 2a 20 43 6f 6e 66 69 67 75 72 61 74 69 6f 6e 20 66 6f 72 20 74 68 65 20 58 74 65 6e 73 61 20 /*.Configuration.for.the.Xtensa.
61820 61 72 63 68 69 74 65 63 74 75 72 65 20 66 6f 72 20 47 44 42 2c 20 74 68 65 20 47 4e 55 20 64 65 architecture.for.GDB,.the.GNU.de
61840 62 75 67 67 65 72 2e 0a 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 28 63 29 20 32 30 30 33 2d 32 bugger......Copyright.(c).2003-2
61860 30 31 36 20 54 65 6e 73 69 6c 69 63 61 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 73 73 69 6f 016.Tensilica.Inc......Permissio
61880 6e 20 69 73 20 68 65 72 65 62 79 20 67 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 20 63 68 61 n.is.hereby.granted,.free.of.cha
618a0 72 67 65 2c 20 74 6f 20 61 6e 79 20 70 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 0a 20 20 20 rge,.to.any.person.obtaining....
618c0 61 20 63 6f 70 79 20 6f 66 20 74 68 69 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 61 73 73 6f a.copy.of.this.software.and.asso
618e0 63 69 61 74 65 64 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 74 68 65 0a ciated.documentation.files.(the.
61900 20 20 20 22 53 6f 66 74 77 61 72 65 22 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 68 65 20 53 ..."Software"),.to.deal.in.the.S
61920 6f 66 74 77 61 72 65 20 77 69 74 68 6f 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c 20 69 6e 63 oftware.without.restriction,.inc
61940 6c 75 64 69 6e 67 0a 20 20 20 77 69 74 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e 20 74 68 65 luding....without.limitation.the
61960 20 72 69 67 68 74 73 20 74 6f 20 75 73 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 2c 20 6d 65 .rights.to.use,.copy,.modify,.me
61980 72 67 65 2c 20 70 75 62 6c 69 73 68 2c 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c 20 73 75 62 rge,.publish,....distribute,.sub
619a0 6c 69 63 65 6e 73 65 2c 20 61 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 6f 66 20 74 license,.and/or.sell.copies.of.t
619c0 68 65 20 53 6f 66 74 77 61 72 65 2c 20 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 74 20 70 65 he.Software,.and.to....permit.pe
619e0 72 73 6f 6e 73 20 74 6f 20 77 68 6f 6d 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 73 20 66 75 rsons.to.whom.the.Software.is.fu
61a00 72 6e 69 73 68 65 64 20 74 6f 20 64 6f 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f 0a 20 20 20 rnished.to.do.so,.subject.to....
61a20 74 68 65 20 66 6f 6c 6c 6f 77 69 6e 67 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 20 20 54 68 the.following.conditions:.....Th
61a40 65 20 61 62 6f 76 65 20 63 6f 70 79 72 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 20 74 68 69 e.above.copyright.notice.and.thi
61a60 73 20 70 65 72 6d 69 73 73 69 6f 6e 20 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 20 69 6e 63 s.permission.notice.shall.be.inc
61a80 6c 75 64 65 64 0a 20 20 20 69 6e 20 61 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 62 73 74 61 luded....in.all.copies.or.substa
61aa0 6e 74 69 61 6c 20 70 6f 72 74 69 6f 6e 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2e 0a ntial.portions.of.the.Software..
61ac0 0a 20 20 20 54 48 45 20 53 4f 46 54 57 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 20 22 41 53 ....THE.SOFTWARE.IS.PROVIDED."AS
61ae0 20 49 53 22 2c 20 57 49 54 48 4f 55 54 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e 59 20 4b 49 .IS",.WITHOUT.WARRANTY.OF.ANY.KI
61b00 4e 44 2c 0a 20 20 20 45 58 50 52 45 53 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 4e 43 4c 55 ND,....EXPRESS.OR.IMPLIED,.INCLU
61b20 44 49 4e 47 20 42 55 54 20 4e 4f 54 20 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 57 41 52 52 DING.BUT.NOT.LIMITED.TO.THE.WARR
61b40 41 4e 54 49 45 53 20 4f 46 0a 20 20 20 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 2c 20 46 49 ANTIES.OF....MERCHANTABILITY,.FI
61b60 54 4e 45 53 53 20 46 4f 52 20 41 20 50 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 20 41 TNESS.FOR.A.PARTICULAR.PURPOSE.A
61b80 4e 44 20 4e 4f 4e 49 4e 46 52 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f 20 45 56 45 ND.NONINFRINGEMENT.....IN.NO.EVE
61ba0 4e 54 20 53 48 41 4c 4c 20 54 48 45 20 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 52 49 47 48 NT.SHALL.THE.AUTHORS.OR.COPYRIGH
61bc0 54 20 48 4f 4c 44 45 52 53 20 42 45 20 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a 20 20 20 43 T.HOLDERS.BE.LIABLE.FOR.ANY....C
61be0 4c 41 49 4d 2c 20 44 41 4d 41 47 45 53 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 4c 49 54 59 LAIM,.DAMAGES.OR.OTHER.LIABILITY
61c00 2c 20 57 48 45 54 48 45 52 20 49 4e 20 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f 4e 54 52 41 ,.WHETHER.IN.AN.ACTION.OF.CONTRA
61c20 43 54 2c 0a 20 20 20 54 4f 52 54 20 4f 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 49 53 49 4e CT,....TORT.OR.OTHERWISE,.ARISIN
61c40 47 20 46 52 4f 4d 2c 20 4f 55 54 20 4f 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 49 4f 4e 20 G.FROM,.OUT.OF.OR.IN.CONNECTION.
61c60 57 49 54 48 20 54 48 45 0a 20 20 20 53 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 55 53 45 20 WITH.THE....SOFTWARE.OR.THE.USE.
61c80 4f 52 20 4f 54 48 45 52 20 44 45 41 4c 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 54 57 41 52 OR.OTHER.DEALINGS.IN.THE.SOFTWAR
61ca0 45 2e 20 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 5f 56 45 E...*/..#define.XTENSA_CONFIG_VE
61cc0 52 53 49 4f 4e 20 30 78 36 30 0a 0a 23 69 6e 63 6c 75 64 65 20 22 64 65 66 73 2e 68 22 0a 23 69 RSION.0x60..#include."defs.h".#i
61ce0 6e 63 6c 75 64 65 20 22 78 74 65 6e 73 61 2d 63 6f 6e 66 69 67 2e 68 22 0a 23 69 6e 63 6c 75 64 nclude."xtensa-config.h".#includ
61d00 65 20 22 78 74 65 6e 73 61 2d 74 64 65 70 2e 68 22 0a 0a 0a 0a 2f 2a 20 4d 61 73 6b 65 64 20 72 e."xtensa-tdep.h"..../*.Masked.r
61d20 65 67 69 73 74 65 72 73 2e 20 20 2a 2f 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 egisters...*/.xtensa_reg_mask_t.
61d40 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 30 5b 5d 20 3d 20 7b 20 7b 20 35 37 2c 20 30 2c 20 34 xtensa_submask0[].=.{.{.57,.0,.4
61d60 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 .}.};.const.xtensa_mask_t.xtensa
61d80 5f 6d 61 73 6b 30 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 30 20 7d 3b _mask0.=.{.1,.xtensa_submask0.};
61da0 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 .xtensa_reg_mask_t.xtensa_submas
61dc0 6b 31 5b 5d 20 3d 20 7b 20 7b 20 35 37 2c 20 35 2c 20 31 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 k1[].=.{.{.57,.5,.1.}.};.const.x
61de0 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 31 20 3d 20 7b 20 31 2c tensa_mask_t.xtensa_mask1.=.{.1,
61e00 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d .xtensa_submask1.};.xtensa_reg_m
61e20 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 32 5b 5d 20 3d 20 7b 20 7b 20 35 37 ask_t.xtensa_submask2[].=.{.{.57
61e40 2c 20 31 38 2c 20 31 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 ,.18,.1.}.};.const.xtensa_mask_t
61e60 20 78 74 65 6e 73 61 5f 6d 61 73 6b 32 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d .xtensa_mask2.=.{.1,.xtensa_subm
61e80 61 73 6b 32 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 ask2.};.xtensa_reg_mask_t.xtensa
61ea0 5f 73 75 62 6d 61 73 6b 33 5b 5d 20 3d 20 7b 20 7b 20 35 37 2c 20 36 2c 20 32 20 7d 20 7d 3b 0a _submask3[].=.{.{.57,.6,.2.}.};.
61ec0 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 33 const.xtensa_mask_t.xtensa_mask3
61ee0 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 33 20 7d 3b 0a 78 74 65 6e 73 .=.{.1,.xtensa_submask3.};.xtens
61f00 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 34 5b 5d 20 3d a_reg_mask_t.xtensa_submask4[].=
61f20 20 7b 20 7b 20 35 37 2c 20 34 2c 20 31 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f .{.{.57,.4,.1.}.};.const.xtensa_
61f40 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 34 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 mask_t.xtensa_mask4.=.{.1,.xtens
61f60 61 5f 73 75 62 6d 61 73 6b 34 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 a_submask4.};.xtensa_reg_mask_t.
61f80 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 35 5b 5d 20 3d 20 7b 20 7b 20 35 37 2c 20 31 36 2c 20 xtensa_submask5[].=.{.{.57,.16,.
61fa0 32 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 2.}.};.const.xtensa_mask_t.xtens
61fc0 61 5f 6d 61 73 6b 35 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 35 20 7d a_mask5.=.{.1,.xtensa_submask5.}
61fe0 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 ;.xtensa_reg_mask_t.xtensa_subma
62000 73 6b 36 5b 5d 20 3d 20 7b 20 7b 20 35 37 2c 20 38 2c 20 34 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 sk6[].=.{.{.57,.8,.4.}.};.const.
62020 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 36 20 3d 20 7b 20 31 xtensa_mask_t.xtensa_mask6.=.{.1
62040 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 36 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f ,.xtensa_submask6.};.xtensa_reg_
62060 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 37 5b 5d 20 3d 20 7b 20 7b 20 36 mask_t.xtensa_submask7[].=.{.{.6
62080 30 2c 20 30 2c 20 33 32 20 7d 2c 20 7b 20 36 31 2c 20 30 2c 20 38 20 7d 20 7d 3b 0a 63 6f 6e 73 0,.0,.32.},.{.61,.0,.8.}.};.cons
620a0 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 37 20 3d 20 7b t.xtensa_mask_t.xtensa_mask7.=.{
620c0 20 32 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 37 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 .2,.xtensa_submask7.};.xtensa_re
620e0 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 38 5b 5d 20 3d 20 7b 20 7b g_mask_t.xtensa_submask8[].=.{.{
62100 20 31 30 39 2c 20 38 2c 20 34 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 .109,.8,.4.}.};.const.xtensa_mas
62120 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 38 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 k_t.xtensa_mask8.=.{.1,.xtensa_s
62140 75 62 6d 61 73 6b 38 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 ubmask8.};.xtensa_reg_mask_t.xte
62160 6e 73 61 5f 73 75 62 6d 61 73 6b 39 5b 5d 20 3d 20 7b 20 7b 20 36 39 2c 20 32 34 2c 20 38 20 7d nsa_submask9[].=.{.{.69,.24,.8.}
62180 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d .};.const.xtensa_mask_t.xtensa_m
621a0 61 73 6b 39 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 39 20 7d 3b 0a 78 ask9.=.{.1,.xtensa_submask9.};.x
621c0 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 tensa_reg_mask_t.xtensa_submask1
621e0 30 5b 5d 20 3d 20 7b 20 7b 20 36 39 2c 20 31 36 2c 20 38 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 0[].=.{.{.69,.16,.8.}.};.const.x
62200 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 31 30 20 3d 20 7b 20 31 tensa_mask_t.xtensa_mask10.=.{.1
62220 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 30 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 ,.xtensa_submask10.};.xtensa_reg
62240 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 31 5b 5d 20 3d 20 7b 20 7b _mask_t.xtensa_submask11[].=.{.{
62260 20 36 39 2c 20 38 2c 20 38 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b .69,.8,.8.}.};.const.xtensa_mask
62280 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 31 31 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 _t.xtensa_mask11.=.{.1,.xtensa_s
622a0 75 62 6d 61 73 6b 31 31 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 ubmask11.};.xtensa_reg_mask_t.xt
622c0 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 32 5b 5d 20 3d 20 7b 20 7b 20 37 30 2c 20 32 34 2c 20 31 ensa_submask12[].=.{.{.70,.24,.1
622e0 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 .}.};.const.xtensa_mask_t.xtensa
62300 5f 6d 61 73 6b 31 32 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 32 20 _mask12.=.{.1,.xtensa_submask12.
62320 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d };.xtensa_reg_mask_t.xtensa_subm
62340 61 73 6b 31 33 5b 5d 20 3d 20 7b 20 7b 20 37 30 2c 20 32 30 2c 20 31 20 7d 20 7d 3b 0a 63 6f 6e ask13[].=.{.{.70,.20,.1.}.};.con
62360 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 31 33 20 3d st.xtensa_mask_t.xtensa_mask13.=
62380 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 33 20 7d 3b 0a 78 74 65 6e 73 61 .{.1,.xtensa_submask13.};.xtensa
623a0 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 34 5b 5d 20 3d _reg_mask_t.xtensa_submask14[].=
623c0 20 7b 20 7b 20 37 30 2c 20 31 36 2c 20 32 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 .{.{.70,.16,.2.}.};.const.xtensa
623e0 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 31 34 20 3d 20 7b 20 31 2c 20 78 74 65 _mask_t.xtensa_mask14.=.{.1,.xte
62400 6e 73 61 5f 73 75 62 6d 61 73 6b 31 34 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b nsa_submask14.};.xtensa_reg_mask
62420 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 35 5b 5d 20 3d 20 7b 20 7b 20 37 31 2c 20 _t.xtensa_submask15[].=.{.{.71,.
62440 32 34 2c 20 31 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 24,.1.}.};.const.xtensa_mask_t.x
62460 74 65 6e 73 61 5f 6d 61 73 6b 31 35 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 tensa_mask15.=.{.1,.xtensa_subma
62480 73 6b 31 35 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 sk15.};.xtensa_reg_mask_t.xtensa
624a0 5f 73 75 62 6d 61 73 6b 31 36 5b 5d 20 3d 20 7b 20 7b 20 37 31 2c 20 32 30 2c 20 31 20 7d 20 7d _submask16[].=.{.{.71,.20,.1.}.}
624c0 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 ;.const.xtensa_mask_t.xtensa_mas
624e0 6b 31 36 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 36 20 7d 3b 0a 78 k16.=.{.1,.xtensa_submask16.};.x
62500 74 65 6e 73 61 5f 72 65 67 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 tensa_reg_mask_t.xtensa_submask1
62520 37 5b 5d 20 3d 20 7b 20 7b 20 37 31 2c 20 31 36 2c 20 32 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 7[].=.{.{.71,.16,.2.}.};.const.x
62540 74 65 6e 73 61 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 31 37 20 3d 20 7b 20 31 tensa_mask_t.xtensa_mask17.=.{.1
62560 2c 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 37 20 7d 3b 0a 78 74 65 6e 73 61 5f 72 65 67 ,.xtensa_submask17.};.xtensa_reg
62580 5f 6d 61 73 6b 5f 74 20 78 74 65 6e 73 61 5f 73 75 62 6d 61 73 6b 31 38 5b 5d 20 3d 20 7b 20 7b _mask_t.xtensa_submask18[].=.{.{
625a0 20 36 37 2c 20 32 32 2c 20 31 30 20 7d 20 7d 3b 0a 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 6d 61 .67,.22,.10.}.};.const.xtensa_ma
625c0 73 6b 5f 74 20 78 74 65 6e 73 61 5f 6d 61 73 6b 31 38 20 3d 20 7b 20 31 2c 20 78 74 65 6e 73 61 sk_t.xtensa_mask18.=.{.1,.xtensa
625e0 5f 73 75 62 6d 61 73 6b 31 38 20 7d 3b 0a 0a 0a 2f 2a 20 52 65 67 69 73 74 65 72 20 6d 61 70 2e _submask18.};.../*.Register.map.
62600 20 20 2a 2f 0a 78 74 65 6e 73 61 5f 72 65 67 69 73 74 65 72 5f 74 20 72 6d 61 70 5b 5d 20 3d 20 ..*/.xtensa_register_t.rmap[].=.
62620 0a 7b 0a 20 20 2f 2a 20 20 20 20 69 64 78 20 6f 66 73 20 62 69 20 73 7a 20 61 6c 20 74 61 72 67 .{.../*....idx.ofs.bi.sz.al.targ
62640 6e 6f 20 20 66 6c 61 67 73 20 63 70 20 74 79 70 20 67 72 6f 75 70 20 6e 61 6d 65 20 20 2a 2f 0a no..flags.cp.typ.group.name..*/.
62660 20 20 58 54 52 45 47 28 20 20 30 2c 20 20 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 30 2c ..XTREG(..0,..0,32,.4,.4,0x0000,
62680 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 30 2c 20 20 20 20 20 20 20 20 20 0x0006,-2,.8,0x0100,a0,.........
626a0 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 20 31 2c 20 20 34 2c 33 32 .0,0,0,0,0,0)...XTREG(..1,..4,32
626c0 2c 20 34 2c 20 34 2c 30 78 30 30 30 31 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 ,.4,.4,0x0001,0x0006,-2,.8,0x010
626e0 30 2c 61 31 2c 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 0,a1,..........0,0,0,0,0,0)...XT
62700 52 45 47 28 20 20 32 2c 20 20 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 32 2c 30 78 30 30 REG(..2,..8,32,.4,.4,0x0002,0x00
62720 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 32 2c 20 20 20 20 20 20 20 20 20 20 30 2c 30 06,-2,.8,0x0100,a2,..........0,0
62740 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 20 33 2c 20 31 32 2c 33 32 2c 20 34 2c ,0,0,0,0)...XTREG(..3,.12,32,.4,
62760 20 34 2c 30 78 30 30 30 33 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 33 .4,0x0003,0x0006,-2,.8,0x0100,a3
62780 2c 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 ,..........0,0,0,0,0,0)...XTREG(
627a0 20 20 34 2c 20 31 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 34 2c 30 78 30 30 30 36 2c 2d ..4,.16,32,.4,.4,0x0004,0x0006,-
627c0 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 34 2c 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2,.8,0x0100,a4,..........0,0,0,0
627e0 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 20 35 2c 20 32 30 2c 33 32 2c 20 34 2c 20 34 2c 30 ,0,0)...XTREG(..5,.20,32,.4,.4,0
62800 78 30 30 30 35 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 35 2c 20 20 20 x0005,0x0006,-2,.8,0x0100,a5,...
62820 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 20 36 2c .......0,0,0,0,0,0)...XTREG(..6,
62840 20 32 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 36 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 .24,32,.4,.4,0x0006,0x0006,-2,.8
62860 2c 30 78 30 31 30 30 2c 61 36 2c 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 ,0x0100,a6,..........0,0,0,0,0,0
62880 29 0a 20 20 58 54 52 45 47 28 20 20 37 2c 20 32 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 )...XTREG(..7,.28,32,.4,.4,0x000
628a0 37 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 37 2c 20 20 20 20 20 20 20 7,0x0006,-2,.8,0x0100,a7,.......
628c0 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 20 38 2c 20 33 32 2c ...0,0,0,0,0,0)...XTREG(..8,.32,
628e0 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 38 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 32,.4,.4,0x0008,0x0006,-2,.8,0x0
62900 31 30 30 2c 61 38 2c 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 100,a8,..........0,0,0,0,0,0)...
62920 58 54 52 45 47 28 20 20 39 2c 20 33 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 39 2c 30 78 XTREG(..9,.36,32,.4,.4,0x0009,0x
62940 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 39 2c 20 20 20 20 20 20 20 20 20 20 30 0006,-2,.8,0x0100,a9,..........0
62960 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 31 30 2c 20 34 30 2c 33 32 2c 20 ,0,0,0,0,0)...XTREG(.10,.40,32,.
62980 34 2c 20 34 2c 30 78 30 30 30 61 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 4,.4,0x000a,0x0006,-2,.8,0x0100,
629a0 61 31 30 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 a10,.........0,0,0,0,0,0)...XTRE
629c0 47 28 20 31 31 2c 20 34 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 62 2c 30 78 30 30 30 36 G(.11,.44,32,.4,.4,0x000b,0x0006
629e0 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 31 31 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 ,-2,.8,0x0100,a11,.........0,0,0
62a00 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 31 32 2c 20 34 38 2c 33 32 2c 20 34 2c 20 34 ,0,0,0)...XTREG(.12,.48,32,.4,.4
62a20 2c 30 78 30 30 30 63 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 31 32 2c ,0x000c,0x0006,-2,.8,0x0100,a12,
62a40 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 31 .........0,0,0,0,0,0)...XTREG(.1
62a60 33 2c 20 35 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 64 2c 30 78 30 30 30 36 2c 2d 32 2c 3,.52,32,.4,.4,0x000d,0x0006,-2,
62a80 20 38 2c 30 78 30 31 30 30 2c 61 31 33 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 .8,0x0100,a13,.........0,0,0,0,0
62aa0 2c 30 29 0a 20 20 58 54 52 45 47 28 20 31 34 2c 20 35 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 ,0)...XTREG(.14,.56,32,.4,.4,0x0
62ac0 30 30 65 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 78 30 31 30 30 2c 61 31 34 2c 20 20 20 20 00e,0x0006,-2,.8,0x0100,a14,....
62ae0 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 31 35 2c 20 36 .....0,0,0,0,0,0)...XTREG(.15,.6
62b00 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 30 66 2c 30 78 30 30 30 36 2c 2d 32 2c 20 38 2c 30 0,32,.4,.4,0x000f,0x0006,-2,.8,0
62b20 78 30 31 30 30 2c 61 31 35 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a x0100,a15,.........0,0,0,0,0,0).
62b40 20 20 58 54 52 45 47 28 20 31 36 2c 20 36 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 30 32 30 2c ..XTREG(.16,.64,32,.4,.4,0x0020,
62b60 30 78 30 30 30 36 2c 2d 32 2c 20 39 2c 30 78 30 31 30 30 2c 70 63 2c 20 20 20 20 20 20 20 20 20 0x0006,-2,.9,0x0100,pc,.........
62b80 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 31 37 2c 20 36 38 2c 33 32 .0,0,0,0,0,0)...XTREG(.17,.68,32
62ba0 2c 20 34 2c 20 34 2c 30 78 30 31 30 30 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 ,.4,.4,0x0100,0x0006,-2,.1,0x000
62bc0 32 2c 61 72 30 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 2,ar0,.........0,0,0,0,0,0)...XT
62be0 52 45 47 28 20 31 38 2c 20 37 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 31 2c 30 78 30 30 REG(.18,.72,32,.4,.4,0x0101,0x00
62c00 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 31 2c 20 20 20 20 20 20 20 20 20 30 2c 30 06,-2,.1,0x0002,ar1,.........0,0
62c20 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 31 39 2c 20 37 36 2c 33 32 2c 20 34 2c ,0,0,0,0)...XTREG(.19,.76,32,.4,
62c40 20 34 2c 30 78 30 31 30 32 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 .4,0x0102,0x0006,-2,.1,0x0002,ar
62c60 32 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 2,.........0,0,0,0,0,0)...XTREG(
62c80 20 32 30 2c 20 38 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 33 2c 30 78 30 30 30 36 2c 2d .20,.80,32,.4,.4,0x0103,0x0006,-
62ca0 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 33 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2,.1,0x0002,ar3,.........0,0,0,0
62cc0 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 32 31 2c 20 38 34 2c 33 32 2c 20 34 2c 20 34 2c 30 ,0,0)...XTREG(.21,.84,32,.4,.4,0
62ce0 78 30 31 30 34 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 34 2c 20 20 x0104,0x0006,-2,.1,0x0002,ar4,..
62d00 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 32 32 2c .......0,0,0,0,0,0)...XTREG(.22,
62d20 20 38 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 35 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 .88,32,.4,.4,0x0105,0x0006,-2,.1
62d40 2c 30 78 30 30 30 32 2c 61 72 35 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 ,0x0002,ar5,.........0,0,0,0,0,0
62d60 29 0a 20 20 58 54 52 45 47 28 20 32 33 2c 20 39 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 )...XTREG(.23,.92,32,.4,.4,0x010
62d80 36 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 36 2c 20 20 20 20 20 20 6,0x0006,-2,.1,0x0002,ar6,......
62da0 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 32 34 2c 20 39 36 2c ...0,0,0,0,0,0)...XTREG(.24,.96,
62dc0 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 37 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 32,.4,.4,0x0107,0x0006,-2,.1,0x0
62de0 30 30 32 2c 61 72 37 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 002,ar7,.........0,0,0,0,0,0)...
62e00 58 54 52 45 47 28 20 32 35 2c 31 30 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 38 2c 30 78 XTREG(.25,100,32,.4,.4,0x0108,0x
62e20 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 38 2c 20 20 20 20 20 20 20 20 20 30 0006,-2,.1,0x0002,ar8,.........0
62e40 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 32 36 2c 31 30 34 2c 33 32 2c 20 ,0,0,0,0,0)...XTREG(.26,104,32,.
62e60 34 2c 20 34 2c 30 78 30 31 30 39 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 4,.4,0x0109,0x0006,-2,.1,0x0002,
62e80 61 72 39 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 ar9,.........0,0,0,0,0,0)...XTRE
62ea0 47 28 20 32 37 2c 31 30 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 61 2c 30 78 30 30 30 36 G(.27,108,32,.4,.4,0x010a,0x0006
62ec0 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 31 30 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 ,-2,.1,0x0002,ar10,........0,0,0
62ee0 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 32 38 2c 31 31 32 2c 33 32 2c 20 34 2c 20 34 ,0,0,0)...XTREG(.28,112,32,.4,.4
62f00 2c 30 78 30 31 30 62 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 31 31 ,0x010b,0x0006,-2,.1,0x0002,ar11
62f20 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 32 ,........0,0,0,0,0,0)...XTREG(.2
62f40 39 2c 31 31 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 63 2c 30 78 30 30 30 36 2c 2d 32 2c 9,116,32,.4,.4,0x010c,0x0006,-2,
62f60 20 31 2c 30 78 30 30 30 32 2c 61 72 31 32 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 .1,0x0002,ar12,........0,0,0,0,0
62f80 2c 30 29 0a 20 20 58 54 52 45 47 28 20 33 30 2c 31 32 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 ,0)...XTREG(.30,120,32,.4,.4,0x0
62fa0 31 30 64 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 31 33 2c 20 20 20 10d,0x0006,-2,.1,0x0002,ar13,...
62fc0 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 33 31 2c 31 32 .....0,0,0,0,0,0)...XTREG(.31,12
62fe0 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 65 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 4,32,.4,.4,0x010e,0x0006,-2,.1,0
63000 78 30 30 30 32 2c 61 72 31 34 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a x0002,ar14,........0,0,0,0,0,0).
63020 20 20 58 54 52 45 47 28 20 33 32 2c 31 32 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 30 66 2c ..XTREG(.32,128,32,.4,.4,0x010f,
63040 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 31 35 2c 20 20 20 20 20 20 20 0x0006,-2,.1,0x0002,ar15,.......
63060 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 33 33 2c 31 33 32 2c 33 32 .0,0,0,0,0,0)...XTREG(.33,132,32
63080 2c 20 34 2c 20 34 2c 30 78 30 31 31 30 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 ,.4,.4,0x0110,0x0006,-2,.1,0x000
630a0 32 2c 61 72 31 36 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 2,ar16,........0,0,0,0,0,0)...XT
630c0 52 45 47 28 20 33 34 2c 31 33 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 31 2c 30 78 30 30 REG(.34,136,32,.4,.4,0x0111,0x00
630e0 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 31 37 2c 20 20 20 20 20 20 20 20 30 2c 30 06,-2,.1,0x0002,ar17,........0,0
63100 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 33 35 2c 31 34 30 2c 33 32 2c 20 34 2c ,0,0,0,0)...XTREG(.35,140,32,.4,
63120 20 34 2c 30 78 30 31 31 32 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 .4,0x0112,0x0006,-2,.1,0x0002,ar
63140 31 38 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 18,........0,0,0,0,0,0)...XTREG(
63160 20 33 36 2c 31 34 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 33 2c 30 78 30 30 30 36 2c 2d .36,144,32,.4,.4,0x0113,0x0006,-
63180 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 31 39 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2,.1,0x0002,ar19,........0,0,0,0
631a0 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 33 37 2c 31 34 38 2c 33 32 2c 20 34 2c 20 34 2c 30 ,0,0)...XTREG(.37,148,32,.4,.4,0
631c0 78 30 31 31 34 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 32 30 2c 20 x0114,0x0006,-2,.1,0x0002,ar20,.
631e0 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 33 38 2c .......0,0,0,0,0,0)...XTREG(.38,
63200 31 35 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 35 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 152,32,.4,.4,0x0115,0x0006,-2,.1
63220 2c 30 78 30 30 30 32 2c 61 72 32 31 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 ,0x0002,ar21,........0,0,0,0,0,0
63240 29 0a 20 20 58 54 52 45 47 28 20 33 39 2c 31 35 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 )...XTREG(.39,156,32,.4,.4,0x011
63260 36 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 32 32 2c 20 20 20 20 20 6,0x0006,-2,.1,0x0002,ar22,.....
63280 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 34 30 2c 31 36 30 2c ...0,0,0,0,0,0)...XTREG(.40,160,
632a0 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 37 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 32,.4,.4,0x0117,0x0006,-2,.1,0x0
632c0 30 30 32 2c 61 72 32 33 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 002,ar23,........0,0,0,0,0,0)...
632e0 58 54 52 45 47 28 20 34 31 2c 31 36 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 38 2c 30 78 XTREG(.41,164,32,.4,.4,0x0118,0x
63300 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 32 34 2c 20 20 20 20 20 20 20 20 30 0006,-2,.1,0x0002,ar24,........0
63320 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 34 32 2c 31 36 38 2c 33 32 2c 20 ,0,0,0,0,0)...XTREG(.42,168,32,.
63340 34 2c 20 34 2c 30 78 30 31 31 39 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 4,.4,0x0119,0x0006,-2,.1,0x0002,
63360 61 72 32 35 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 ar25,........0,0,0,0,0,0)...XTRE
63380 47 28 20 34 33 2c 31 37 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 61 2c 30 78 30 30 30 36 G(.43,172,32,.4,.4,0x011a,0x0006
633a0 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 32 36 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 ,-2,.1,0x0002,ar26,........0,0,0
633c0 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 34 34 2c 31 37 36 2c 33 32 2c 20 34 2c 20 34 ,0,0,0)...XTREG(.44,176,32,.4,.4
633e0 2c 30 78 30 31 31 62 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 32 37 ,0x011b,0x0006,-2,.1,0x0002,ar27
63400 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 34 ,........0,0,0,0,0,0)...XTREG(.4
63420 35 2c 31 38 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 63 2c 30 78 30 30 30 36 2c 2d 32 2c 5,180,32,.4,.4,0x011c,0x0006,-2,
63440 20 31 2c 30 78 30 30 30 32 2c 61 72 32 38 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 .1,0x0002,ar28,........0,0,0,0,0
63460 2c 30 29 0a 20 20 58 54 52 45 47 28 20 34 36 2c 31 38 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 ,0)...XTREG(.46,184,32,.4,.4,0x0
63480 31 31 64 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 32 39 2c 20 20 20 11d,0x0006,-2,.1,0x0002,ar29,...
634a0 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 34 37 2c 31 38 .....0,0,0,0,0,0)...XTREG(.47,18
634c0 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 65 2c 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 8,32,.4,.4,0x011e,0x0006,-2,.1,0
634e0 78 30 30 30 32 2c 61 72 33 30 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a x0002,ar30,........0,0,0,0,0,0).
63500 20 20 58 54 52 45 47 28 20 34 38 2c 31 39 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 31 31 66 2c ..XTREG(.48,192,32,.4,.4,0x011f,
63520 30 78 30 30 30 36 2c 2d 32 2c 20 31 2c 30 78 30 30 30 32 2c 61 72 33 31 2c 20 20 20 20 20 20 20 0x0006,-2,.1,0x0002,ar31,.......
63540 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 34 39 2c 31 39 36 2c 33 32 .0,0,0,0,0,0)...XTREG(.49,196,32
63560 2c 20 34 2c 20 34 2c 30 78 30 32 30 30 2c 30 78 30 30 30 36 2c 2d 32 2c 20 32 2c 30 78 31 31 30 ,.4,.4,0x0200,0x0006,-2,.2,0x110
63580 30 2c 6c 62 65 67 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 0,lbeg,........0,0,0,0,0,0)...XT
635a0 52 45 47 28 20 35 30 2c 32 30 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 30 31 2c 30 78 30 30 REG(.50,200,32,.4,.4,0x0201,0x00
635c0 30 36 2c 2d 32 2c 20 32 2c 30 78 31 31 30 30 2c 6c 65 6e 64 2c 20 20 20 20 20 20 20 20 30 2c 30 06,-2,.2,0x1100,lend,........0,0
635e0 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 35 31 2c 32 30 34 2c 33 32 2c 20 34 2c ,0,0,0,0)...XTREG(.51,204,32,.4,
63600 20 34 2c 30 78 30 32 30 32 2c 30 78 30 30 30 36 2c 2d 32 2c 20 32 2c 30 78 31 31 30 30 2c 6c 63 .4,0x0202,0x0006,-2,.2,0x1100,lc
63620 6f 75 6e 74 2c 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 ount,......0,0,0,0,0,0)...XTREG(
63640 20 35 32 2c 32 30 38 2c 20 36 2c 20 34 2c 20 34 2c 30 78 30 32 30 33 2c 30 78 30 30 30 36 2c 2d .52,208,.6,.4,.4,0x0203,0x0006,-
63660 32 2c 20 32 2c 30 78 31 31 30 30 2c 73 61 72 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2,.2,0x1100,sar,.........0,0,0,0
63680 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 35 33 2c 32 31 32 2c 20 33 2c 20 34 2c 20 34 2c 30 ,0,0)...XTREG(.53,212,.3,.4,.4,0
636a0 78 30 32 34 38 2c 30 78 30 30 30 36 2c 2d 32 2c 20 32 2c 30 78 31 30 30 32 2c 77 69 6e 64 6f 77 x0248,0x0006,-2,.2,0x1002,window
636c0 62 61 73 65 2c 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 35 34 2c base,..0,0,0,0,0,0)...XTREG(.54,
636e0 32 31 36 2c 20 38 2c 20 34 2c 20 34 2c 30 78 30 32 34 39 2c 30 78 30 30 30 36 2c 2d 32 2c 20 32 216,.8,.4,.4,0x0249,0x0006,-2,.2
63700 2c 30 78 31 30 30 32 2c 77 69 6e 64 6f 77 73 74 61 72 74 2c 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 ,0x1002,windowstart,.0,0,0,0,0,0
63720 29 0a 20 20 58 54 52 45 47 28 20 35 35 2c 32 32 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 62 )...XTREG(.55,220,32,.4,.4,0x02b
63740 30 2c 30 78 30 30 30 32 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 63 6f 6e 66 69 67 69 64 30 2c 0,0x0002,-2,.2,0x1000,configid0,
63760 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 35 36 2c 32 32 34 2c ...0,0,0,0,0,0)...XTREG(.56,224,
63780 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 64 30 2c 30 78 30 30 30 32 2c 2d 32 2c 20 32 2c 30 78 31 32,.4,.4,0x02d0,0x0002,-2,.2,0x1
637a0 30 30 30 2c 63 6f 6e 66 69 67 69 64 31 2c 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 000,configid1,...0,0,0,0,0,0)...
637c0 58 54 52 45 47 28 20 35 37 2c 32 32 38 2c 31 39 2c 20 34 2c 20 34 2c 30 78 30 32 65 36 2c 30 78 XTREG(.57,228,19,.4,.4,0x02e6,0x
637e0 30 30 30 36 2c 2d 32 2c 20 32 2c 30 78 31 31 30 30 2c 70 73 2c 20 20 20 20 20 20 20 20 20 20 30 0006,-2,.2,0x1100,ps,..........0
63800 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 35 38 2c 32 33 32 2c 33 32 2c 20 ,0,0,0,0,0)...XTREG(.58,232,32,.
63820 34 2c 20 34 2c 30 78 30 33 65 37 2c 30 78 30 30 30 36 2c 2d 32 2c 20 33 2c 30 78 30 31 31 30 2c 4,.4,0x03e7,0x0006,-2,.3,0x0110,
63840 74 68 72 65 61 64 70 74 72 2c 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 threadptr,...0,0,0,0,0,0)...XTRE
63860 47 28 20 35 39 2c 32 33 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 30 63 2c 30 78 30 30 30 36 G(.59,236,32,.4,.4,0x020c,0x0006
63880 2c 2d 31 2c 20 32 2c 30 78 31 31 30 30 2c 73 63 6f 6d 70 61 72 65 31 2c 20 20 20 30 2c 30 2c 30 ,-1,.2,0x1100,scompare1,...0,0,0
638a0 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 36 30 2c 32 34 30 2c 33 32 2c 20 34 2c 20 34 ,0,0,0)...XTREG(.60,240,32,.4,.4
638c0 2c 30 78 30 32 31 30 2c 30 78 30 30 30 36 2c 2d 31 2c 20 32 2c 30 78 31 31 30 30 2c 61 63 63 6c ,0x0210,0x0006,-1,.2,0x1100,accl
638e0 6f 2c 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 36 o,.......0,0,0,0,0,0)...XTREG(.6
63900 31 2c 32 34 34 2c 20 38 2c 20 34 2c 20 34 2c 30 78 30 32 31 31 2c 30 78 30 30 30 36 2c 2d 31 2c 1,244,.8,.4,.4,0x0211,0x0006,-1,
63920 20 32 2c 30 78 31 31 30 30 2c 61 63 63 68 69 2c 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 .2,0x1100,acchi,.......0,0,0,0,0
63940 2c 30 29 0a 20 20 58 54 52 45 47 28 20 36 32 2c 32 34 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 ,0)...XTREG(.62,248,32,.4,.4,0x0
63960 32 32 30 2c 30 78 30 30 30 36 2c 2d 31 2c 20 32 2c 30 78 31 31 30 30 2c 6d 30 2c 20 20 20 20 20 220,0x0006,-1,.2,0x1100,m0,.....
63980 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 36 33 2c 32 35 .....0,0,0,0,0,0)...XTREG(.63,25
639a0 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 32 31 2c 30 78 30 30 30 36 2c 2d 31 2c 20 32 2c 30 2,32,.4,.4,0x0221,0x0006,-1,.2,0
639c0 78 31 31 30 30 2c 6d 31 2c 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a x1100,m1,..........0,0,0,0,0,0).
639e0 20 20 58 54 52 45 47 28 20 36 34 2c 32 35 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 32 32 2c ..XTREG(.64,256,32,.4,.4,0x0222,
63a00 30 78 30 30 30 36 2c 2d 31 2c 20 32 2c 30 78 31 31 30 30 2c 6d 32 2c 20 20 20 20 20 20 20 20 20 0x0006,-1,.2,0x1100,m2,.........
63a20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 36 35 2c 32 36 30 2c 33 32 .0,0,0,0,0,0)...XTREG(.65,260,32
63a40 2c 20 34 2c 20 34 2c 30 78 30 32 32 33 2c 30 78 30 30 30 36 2c 2d 31 2c 20 32 2c 30 78 31 31 30 ,.4,.4,0x0223,0x0006,-1,.2,0x110
63a60 30 2c 6d 33 2c 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 0,m3,..........0,0,0,0,0,0)...XT
63a80 52 45 47 28 20 36 36 2c 32 36 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 33 65 36 2c 30 78 30 30 REG(.66,264,32,.4,.4,0x03e6,0x00
63aa0 30 65 2c 2d 31 2c 20 33 2c 30 78 30 31 31 30 2c 65 78 70 73 74 61 74 65 2c 20 20 20 20 30 2c 30 0e,-1,.3,0x0110,expstate,....0,0
63ac0 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 36 37 2c 32 36 38 2c 33 32 2c 20 34 2c ,0,0,0,0)...XTREG(.67,268,32,.4,
63ae0 20 34 2c 30 78 30 32 35 33 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 70 74 .4,0x0253,0x0007,-2,.2,0x1000,pt
63b00 65 76 61 64 64 72 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 evaddr,....0,0,0,0,0,0)...XTREG(
63b20 20 36 38 2c 32 37 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 35 39 2c 30 78 30 30 30 64 2c 2d .68,272,32,.4,.4,0x0259,0x000d,-
63b40 32 2c 20 32 2c 30 78 31 30 30 30 2c 6d 6d 69 64 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2,.2,0x1000,mmid,........0,0,0,0
63b60 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 36 39 2c 32 37 36 2c 33 32 2c 20 34 2c 20 34 2c 30 ,0,0)...XTREG(.69,276,32,.4,.4,0
63b80 78 30 32 35 61 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 72 61 73 69 64 2c x025a,0x0007,-2,.2,0x1000,rasid,
63ba0 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 37 30 2c .......0,0,0,0,0,0)...XTREG(.70,
63bc0 32 38 30 2c 32 35 2c 20 34 2c 20 34 2c 30 78 30 32 35 62 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 280,25,.4,.4,0x025b,0x0007,-2,.2
63be0 2c 30 78 31 30 30 30 2c 69 74 6c 62 63 66 67 2c 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 ,0x1000,itlbcfg,.....0,0,0,0,0,0
63c00 29 0a 20 20 58 54 52 45 47 28 20 37 31 2c 32 38 34 2c 32 35 2c 20 34 2c 20 34 2c 30 78 30 32 35 )...XTREG(.71,284,25,.4,.4,0x025
63c20 63 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 64 74 6c 62 63 66 67 2c 20 20 c,0x0007,-2,.2,0x1000,dtlbcfg,..
63c40 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 37 32 2c 32 38 38 2c ...0,0,0,0,0,0)...XTREG(.72,288,
63c60 20 32 2c 20 34 2c 20 34 2c 30 78 30 32 36 30 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 .2,.4,.4,0x0260,0x0007,-2,.2,0x1
63c80 30 30 30 2c 69 62 72 65 61 6b 65 6e 61 62 6c 65 2c 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 000,ibreakenable,0,0,0,0,0,0)...
63ca0 58 54 52 45 47 28 20 37 33 2c 32 39 32 2c 20 36 2c 20 34 2c 20 34 2c 30 78 30 32 36 33 2c 30 78 XTREG(.73,292,.6,.4,.4,0x0263,0x
63cc0 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 61 74 6f 6d 63 74 6c 2c 20 20 20 20 20 30 0007,-2,.2,0x1000,atomctl,.....0
63ce0 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 37 34 2c 32 39 36 2c 33 32 2c 20 ,0,0,0,0,0)...XTREG(.74,296,32,.
63d00 34 2c 20 34 2c 30 78 30 32 36 38 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 4,.4,0x0268,0x0007,-2,.2,0x1000,
63d20 64 64 72 2c 20 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 ddr,.........0,0,0,0,0,0)...XTRE
63d40 47 28 20 37 35 2c 33 30 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 38 30 2c 30 78 30 30 30 37 G(.75,300,32,.4,.4,0x0280,0x0007
63d60 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 69 62 72 65 61 6b 61 30 2c 20 20 20 20 30 2c 30 2c 30 ,-2,.2,0x1000,ibreaka0,....0,0,0
63d80 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 37 36 2c 33 30 34 2c 33 32 2c 20 34 2c 20 34 ,0,0,0)...XTREG(.76,304,32,.4,.4
63da0 2c 30 78 30 32 38 31 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 69 62 72 65 ,0x0281,0x0007,-2,.2,0x1000,ibre
63dc0 61 6b 61 31 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 37 aka1,....0,0,0,0,0,0)...XTREG(.7
63de0 37 2c 33 30 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 39 30 2c 30 78 30 30 30 37 2c 2d 32 2c 7,308,32,.4,.4,0x0290,0x0007,-2,
63e00 20 32 2c 30 78 31 30 30 30 2c 64 62 72 65 61 6b 61 30 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 .2,0x1000,dbreaka0,....0,0,0,0,0
63e20 2c 30 29 0a 20 20 58 54 52 45 47 28 20 37 38 2c 33 31 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 ,0)...XTREG(.78,312,32,.4,.4,0x0
63e40 32 39 31 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 64 62 72 65 61 6b 61 31 291,0x0007,-2,.2,0x1000,dbreaka1
63e60 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 37 39 2c 33 31 ,....0,0,0,0,0,0)...XTREG(.79,31
63e80 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 61 30 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 6,32,.4,.4,0x02a0,0x0007,-2,.2,0
63ea0 78 31 30 30 30 2c 64 62 72 65 61 6b 63 30 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a x1000,dbreakc0,....0,0,0,0,0,0).
63ec0 20 20 58 54 52 45 47 28 20 38 30 2c 33 32 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 61 31 2c ..XTREG(.80,320,32,.4,.4,0x02a1,
63ee0 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 64 62 72 65 61 6b 63 31 2c 20 20 20 0x0007,-2,.2,0x1000,dbreakc1,...
63f00 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 38 31 2c 33 32 34 2c 33 32 .0,0,0,0,0,0)...XTREG(.81,324,32
63f20 2c 20 34 2c 20 34 2c 30 78 30 32 62 31 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 ,.4,.4,0x02b1,0x0007,-2,.2,0x100
63f40 30 2c 65 70 63 31 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 0,epc1,........0,0,0,0,0,0)...XT
63f60 52 45 47 28 20 38 32 2c 33 32 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 62 32 2c 30 78 30 30 REG(.82,328,32,.4,.4,0x02b2,0x00
63f80 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 70 63 32 2c 20 20 20 20 20 20 20 20 30 2c 30 07,-2,.2,0x1000,epc2,........0,0
63fa0 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 38 33 2c 33 33 32 2c 33 32 2c 20 34 2c ,0,0,0,0)...XTREG(.83,332,32,.4,
63fc0 20 34 2c 30 78 30 32 62 33 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 70 .4,0x02b3,0x0007,-2,.2,0x1000,ep
63fe0 63 33 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 c3,........0,0,0,0,0,0)...XTREG(
64000 20 38 34 2c 33 33 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 62 34 2c 30 78 30 30 30 37 2c 2d .84,336,32,.4,.4,0x02b4,0x0007,-
64020 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 70 63 34 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2,.2,0x1000,epc4,........0,0,0,0
64040 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 38 35 2c 33 34 30 2c 33 32 2c 20 34 2c 20 34 2c 30 ,0,0)...XTREG(.85,340,32,.4,.4,0
64060 78 30 32 62 35 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 70 63 35 2c 20 x02b5,0x0007,-2,.2,0x1000,epc5,.
64080 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 38 36 2c .......0,0,0,0,0,0)...XTREG(.86,
640a0 33 34 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 62 36 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 344,32,.4,.4,0x02b6,0x0007,-2,.2
640c0 2c 30 78 31 30 30 30 2c 65 70 63 36 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 ,0x1000,epc6,........0,0,0,0,0,0
640e0 29 0a 20 20 58 54 52 45 47 28 20 38 37 2c 33 34 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 62 )...XTREG(.87,348,32,.4,.4,0x02b
64100 37 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 70 63 37 2c 20 20 20 20 20 7,0x0007,-2,.2,0x1000,epc7,.....
64120 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 38 38 2c 33 35 32 2c ...0,0,0,0,0,0)...XTREG(.88,352,
64140 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 63 30 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 32,.4,.4,0x02c0,0x0007,-2,.2,0x1
64160 30 30 30 2c 64 65 70 63 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 000,depc,........0,0,0,0,0,0)...
64180 58 54 52 45 47 28 20 38 39 2c 33 35 36 2c 31 39 2c 20 34 2c 20 34 2c 30 78 30 32 63 32 2c 30 78 XTREG(.89,356,19,.4,.4,0x02c2,0x
641a0 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 70 73 32 2c 20 20 20 20 20 20 20 20 30 0007,-2,.2,0x1000,eps2,........0
641c0 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 39 30 2c 33 36 30 2c 31 39 2c 20 ,0,0,0,0,0)...XTREG(.90,360,19,.
641e0 34 2c 20 34 2c 30 78 30 32 63 33 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 4,.4,0x02c3,0x0007,-2,.2,0x1000,
64200 65 70 73 33 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 eps3,........0,0,0,0,0,0)...XTRE
64220 47 28 20 39 31 2c 33 36 34 2c 31 39 2c 20 34 2c 20 34 2c 30 78 30 32 63 34 2c 30 78 30 30 30 37 G(.91,364,19,.4,.4,0x02c4,0x0007
64240 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 70 73 34 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 ,-2,.2,0x1000,eps4,........0,0,0
64260 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 39 32 2c 33 36 38 2c 31 39 2c 20 34 2c 20 34 ,0,0,0)...XTREG(.92,368,19,.4,.4
64280 2c 30 78 30 32 63 35 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 70 73 35 ,0x02c5,0x0007,-2,.2,0x1000,eps5
642a0 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 39 ,........0,0,0,0,0,0)...XTREG(.9
642c0 33 2c 33 37 32 2c 31 39 2c 20 34 2c 20 34 2c 30 78 30 32 63 36 2c 30 78 30 30 30 37 2c 2d 32 2c 3,372,19,.4,.4,0x02c6,0x0007,-2,
642e0 20 32 2c 30 78 31 30 30 30 2c 65 70 73 36 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 .2,0x1000,eps6,........0,0,0,0,0
64300 2c 30 29 0a 20 20 58 54 52 45 47 28 20 39 34 2c 33 37 36 2c 31 39 2c 20 34 2c 20 34 2c 30 78 30 ,0)...XTREG(.94,376,19,.4,.4,0x0
64320 32 63 37 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 70 73 37 2c 20 20 20 2c7,0x0007,-2,.2,0x1000,eps7,...
64340 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 39 35 2c 33 38 .....0,0,0,0,0,0)...XTREG(.95,38
64360 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 64 31 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 0,32,.4,.4,0x02d1,0x0007,-2,.2,0
64380 78 31 30 30 30 2c 65 78 63 73 61 76 65 31 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a x1000,excsave1,....0,0,0,0,0,0).
643a0 20 20 58 54 52 45 47 28 20 39 36 2c 33 38 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 64 32 2c ..XTREG(.96,384,32,.4,.4,0x02d2,
643c0 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 78 63 73 61 76 65 32 2c 20 20 20 0x0007,-2,.2,0x1000,excsave2,...
643e0 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 39 37 2c 33 38 38 2c 33 32 .0,0,0,0,0,0)...XTREG(.97,388,32
64400 2c 20 34 2c 20 34 2c 30 78 30 32 64 33 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 ,.4,.4,0x02d3,0x0007,-2,.2,0x100
64420 30 2c 65 78 63 73 61 76 65 33 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 0,excsave3,....0,0,0,0,0,0)...XT
64440 52 45 47 28 20 39 38 2c 33 39 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 64 34 2c 30 78 30 30 REG(.98,392,32,.4,.4,0x02d4,0x00
64460 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 78 63 73 61 76 65 34 2c 20 20 20 20 30 2c 30 07,-2,.2,0x1000,excsave4,....0,0
64480 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 20 39 39 2c 33 39 36 2c 33 32 2c 20 34 2c ,0,0,0,0)...XTREG(.99,396,32,.4,
644a0 20 34 2c 30 78 30 32 64 35 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 78 .4,0x02d5,0x0007,-2,.2,0x1000,ex
644c0 63 73 61 76 65 35 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 csave5,....0,0,0,0,0,0)...XTREG(
644e0 31 30 30 2c 34 30 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 64 36 2c 30 78 30 30 30 37 2c 2d 100,400,32,.4,.4,0x02d6,0x0007,-
64500 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 78 63 73 61 76 65 36 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2,.2,0x1000,excsave6,....0,0,0,0
64520 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 30 31 2c 34 30 34 2c 33 32 2c 20 34 2c 20 34 2c 30 ,0,0)...XTREG(101,404,32,.4,.4,0
64540 78 30 32 64 37 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 78 63 73 61 76 x02d7,0x0007,-2,.2,0x1000,excsav
64560 65 37 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 30 32 2c e7,....0,0,0,0,0,0)...XTREG(102,
64580 34 30 38 2c 20 38 2c 20 34 2c 20 34 2c 30 78 30 32 65 30 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 408,.8,.4,.4,0x02e0,0x0007,-2,.2
645a0 2c 30 78 31 30 30 30 2c 63 70 65 6e 61 62 6c 65 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 ,0x1000,cpenable,....0,0,0,0,0,0
645c0 29 0a 20 20 58 54 52 45 47 28 31 30 33 2c 34 31 32 2c 32 32 2c 20 34 2c 20 34 2c 30 78 30 32 65 )...XTREG(103,412,22,.4,.4,0x02e
645e0 32 2c 30 78 30 30 30 62 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 69 6e 74 65 72 72 75 70 74 2c 2,0x000b,-2,.2,0x1000,interrupt,
64600 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 30 34 2c 34 31 36 2c ...0,0,0,0,0,0)...XTREG(104,416,
64620 32 32 2c 20 34 2c 20 34 2c 30 78 30 32 65 32 2c 30 78 30 30 30 64 2c 2d 32 2c 20 32 2c 30 78 31 22,.4,.4,0x02e2,0x000d,-2,.2,0x1
64640 30 30 30 2c 69 6e 74 73 65 74 2c 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 000,intset,......0,0,0,0,0,0)...
64660 58 54 52 45 47 28 31 30 35 2c 34 32 30 2c 32 32 2c 20 34 2c 20 34 2c 30 78 30 32 65 33 2c 30 78 XTREG(105,420,22,.4,.4,0x02e3,0x
64680 30 30 30 64 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 69 6e 74 63 6c 65 61 72 2c 20 20 20 20 30 000d,-2,.2,0x1000,intclear,....0
646a0 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 30 36 2c 34 32 34 2c 32 32 2c 20 ,0,0,0,0,0)...XTREG(106,424,22,.
646c0 34 2c 20 34 2c 30 78 30 32 65 34 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 4,.4,0x02e4,0x0007,-2,.2,0x1000,
646e0 69 6e 74 65 6e 61 62 6c 65 2c 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 intenable,...0,0,0,0,0,0)...XTRE
64700 47 28 31 30 37 2c 34 32 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 65 37 2c 30 78 30 30 30 37 G(107,428,32,.4,.4,0x02e7,0x0007
64720 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 76 65 63 62 61 73 65 2c 20 20 20 20 20 30 2c 30 2c 30 ,-2,.2,0x1000,vecbase,.....0,0,0
64740 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 30 38 2c 34 33 32 2c 20 36 2c 20 34 2c 20 34 ,0,0,0)...XTREG(108,432,.6,.4,.4
64760 2c 30 78 30 32 65 38 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 78 63 63 ,0x02e8,0x0007,-2,.2,0x1000,excc
64780 61 75 73 65 2c 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 30 ause,....0,0,0,0,0,0)...XTREG(10
647a0 39 2c 34 33 36 2c 31 32 2c 20 34 2c 20 34 2c 30 78 30 32 65 39 2c 30 78 30 30 30 33 2c 2d 32 2c 9,436,12,.4,.4,0x02e9,0x0003,-2,
647c0 20 32 2c 30 78 31 30 30 30 2c 64 65 62 75 67 63 61 75 73 65 2c 20 20 30 2c 30 2c 30 2c 30 2c 30 .2,0x1000,debugcause,..0,0,0,0,0
647e0 2c 30 29 0a 20 20 58 54 52 45 47 28 31 31 30 2c 34 34 30 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 ,0)...XTREG(110,440,32,.4,.4,0x0
64800 32 65 61 2c 30 78 30 30 30 66 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 63 63 6f 75 6e 74 2c 20 2ea,0x000f,-2,.2,0x1000,ccount,.
64820 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 31 31 2c 34 34 .....0,0,0,0,0,0)...XTREG(111,44
64840 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 65 62 2c 30 78 30 30 30 33 2c 2d 32 2c 20 32 2c 30 4,32,.4,.4,0x02eb,0x0003,-2,.2,0
64860 78 31 30 30 30 2c 70 72 69 64 2c 20 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a x1000,prid,........0,0,0,0,0,0).
64880 20 20 58 54 52 45 47 28 31 31 32 2c 34 34 38 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 65 63 2c ..XTREG(112,448,32,.4,.4,0x02ec,
648a0 30 78 30 30 30 66 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 69 63 6f 75 6e 74 2c 20 20 20 20 20 0x000f,-2,.2,0x1000,icount,.....
648c0 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 31 33 2c 34 35 32 2c 20 34 .0,0,0,0,0,0)...XTREG(113,452,.4
648e0 2c 20 34 2c 20 34 2c 30 78 30 32 65 64 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 ,.4,.4,0x02ed,0x0007,-2,.2,0x100
64900 30 2c 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 0,icountlevel,.0,0,0,0,0,0)...XT
64920 52 45 47 28 31 31 34 2c 34 35 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 65 65 2c 30 78 30 30 REG(114,456,32,.4,.4,0x02ee,0x00
64940 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 65 78 63 76 61 64 64 72 2c 20 20 20 20 30 2c 30 07,-2,.2,0x1000,excvaddr,....0,0
64960 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 31 35 2c 34 36 30 2c 33 32 2c 20 34 2c ,0,0,0,0)...XTREG(115,460,32,.4,
64980 20 34 2c 30 78 30 32 66 30 2c 30 78 30 30 30 66 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 63 63 .4,0x02f0,0x000f,-2,.2,0x1000,cc
649a0 6f 6d 70 61 72 65 30 2c 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 ompare0,...0,0,0,0,0,0)...XTREG(
649c0 31 31 36 2c 34 36 34 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 66 31 2c 30 78 30 30 30 66 2c 2d 116,464,32,.4,.4,0x02f1,0x000f,-
649e0 32 2c 20 32 2c 30 78 31 30 30 30 2c 63 63 6f 6d 70 61 72 65 31 2c 20 20 20 30 2c 30 2c 30 2c 30 2,.2,0x1000,ccompare1,...0,0,0,0
64a00 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 31 37 2c 34 36 38 2c 33 32 2c 20 34 2c 20 34 2c 30 ,0,0)...XTREG(117,468,32,.4,.4,0
64a20 78 30 32 66 32 2c 30 78 30 30 30 66 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 63 63 6f 6d 70 61 x02f2,0x000f,-2,.2,0x1000,ccompa
64a40 72 65 32 2c 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 31 38 2c re2,...0,0,0,0,0,0)...XTREG(118,
64a60 34 37 32 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 66 34 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 472,32,.4,.4,0x02f4,0x0007,-2,.2
64a80 2c 30 78 31 30 30 30 2c 6d 69 73 63 30 2c 20 20 20 20 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 ,0x1000,misc0,.......0,0,0,0,0,0
64aa0 29 0a 20 20 58 54 52 45 47 28 31 31 39 2c 34 37 36 2c 33 32 2c 20 34 2c 20 34 2c 30 78 30 32 66 )...XTREG(119,476,32,.4,.4,0x02f
64ac0 35 2c 30 78 30 30 30 37 2c 2d 32 2c 20 32 2c 30 78 31 30 30 30 2c 6d 69 73 63 31 2c 20 20 20 20 5,0x0007,-2,.2,0x1000,misc1,....
64ae0 20 20 20 30 2c 30 2c 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 32 30 2c 34 38 30 2c ...0,0,0,0,0,0)...XTREG(120,480,
64b00 20 34 2c 20 34 2c 20 34 2c 30 78 32 30 30 38 2c 30 78 30 30 30 36 2c 2d 32 2c 20 36 2c 30 78 31 .4,.4,.4,0x2008,0x0006,-2,.6,0x1
64b20 30 31 30 2c 70 73 69 6e 74 6c 65 76 65 6c 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 010,psintlevel,.............0,0,
64b40 26 78 74 65 6e 73 61 5f 6d 61 73 6b 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 32 31 &xtensa_mask0,0,0,0)...XTREG(121
64b60 2c 34 38 34 2c 20 31 2c 20 34 2c 20 34 2c 30 78 32 30 30 39 2c 30 78 30 30 30 36 2c 2d 32 2c 20 ,484,.1,.4,.4,0x2009,0x0006,-2,.
64b80 36 2c 30 78 31 30 31 30 2c 70 73 75 6d 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 26 6,0x1010,psum,.............0,0,&
64ba0 78 74 65 6e 73 61 5f 6d 61 73 6b 31 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 32 32 2c xtensa_mask1,0,0,0)...XTREG(122,
64bc0 34 38 38 2c 20 31 2c 20 34 2c 20 34 2c 30 78 32 30 30 61 2c 30 78 30 30 30 36 2c 2d 32 2c 20 36 488,.1,.4,.4,0x200a,0x0006,-2,.6
64be0 2c 30 78 31 30 31 30 2c 70 73 77 6f 65 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 26 ,0x1010,pswoe,.............0,0,&
64c00 78 74 65 6e 73 61 5f 6d 61 73 6b 32 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 32 33 2c xtensa_mask2,0,0,0)...XTREG(123,
64c20 34 39 32 2c 20 32 2c 20 34 2c 20 34 2c 30 78 32 30 30 62 2c 30 78 30 30 30 36 2c 2d 32 2c 20 36 492,.2,.4,.4,0x200b,0x0006,-2,.6
64c40 2c 30 78 31 30 31 30 2c 70 73 72 69 6e 67 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c ,0x1010,psring,.............0,0,
64c60 26 78 74 65 6e 73 61 5f 6d 61 73 6b 33 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 32 34 &xtensa_mask3,0,0,0)...XTREG(124
64c80 2c 34 39 36 2c 20 31 2c 20 34 2c 20 34 2c 30 78 32 30 30 63 2c 30 78 30 30 30 36 2c 2d 32 2c 20 ,496,.1,.4,.4,0x200c,0x0006,-2,.
64ca0 36 2c 30 78 31 30 31 30 2c 70 73 65 78 63 6d 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2c 30 6,0x1010,psexcm,.............0,0
64cc0 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 34 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 32 ,&xtensa_mask4,0,0,0)...XTREG(12
64ce0 35 2c 35 30 30 2c 20 32 2c 20 34 2c 20 34 2c 30 78 32 30 30 64 2c 30 78 30 30 30 36 2c 2d 32 2c 5,500,.2,.4,.4,0x200d,0x0006,-2,
64d00 20 36 2c 30 78 31 30 31 30 2c 70 73 63 61 6c 6c 69 6e 63 2c 0a 20 20 20 20 20 20 20 20 20 20 20 .6,0x1010,pscallinc,............
64d20 20 30 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 35 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 .0,0,&xtensa_mask5,0,0,0)...XTRE
64d40 47 28 31 32 36 2c 35 30 34 2c 20 34 2c 20 34 2c 20 34 2c 30 78 32 30 30 65 2c 30 78 30 30 30 36 G(126,504,.4,.4,.4,0x200e,0x0006
64d60 2c 2d 32 2c 20 36 2c 30 78 31 30 31 30 2c 70 73 6f 77 62 2c 0a 20 20 20 20 20 20 20 20 20 20 20 ,-2,.6,0x1010,psowb,............
64d80 20 30 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 36 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 .0,0,&xtensa_mask6,0,0,0)...XTRE
64da0 47 28 31 32 37 2c 35 30 38 2c 34 30 2c 20 38 2c 20 34 2c 30 78 32 30 30 66 2c 30 78 30 30 30 36 G(127,508,40,.8,.4,0x200f,0x0006
64dc0 2c 2d 32 2c 20 36 2c 30 78 31 30 31 30 2c 61 63 63 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 ,-2,.6,0x1010,acc,.............0
64de0 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 37 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 ,0,&xtensa_mask7,0,0,0)...XTREG(
64e00 31 32 38 2c 35 31 36 2c 20 34 2c 20 34 2c 20 34 2c 30 78 32 30 31 34 2c 30 78 30 30 30 36 2c 2d 128,516,.4,.4,.4,0x2014,0x0006,-
64e20 32 2c 20 36 2c 30 78 31 30 31 30 2c 64 62 6e 75 6d 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2,.6,0x1010,dbnum,.............0
64e40 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 38 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 ,0,&xtensa_mask8,0,0,0)...XTREG(
64e60 31 32 39 2c 35 32 30 2c 20 38 2c 20 34 2c 20 34 2c 30 78 32 30 31 35 2c 30 78 30 30 30 36 2c 2d 129,520,.8,.4,.4,0x2015,0x0006,-
64e80 32 2c 20 36 2c 30 78 31 30 31 30 2c 61 73 69 64 33 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2,.6,0x1010,asid3,.............0
64ea0 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 39 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 ,0,&xtensa_mask9,0,0,0)...XTREG(
64ec0 31 33 30 2c 35 32 34 2c 20 38 2c 20 34 2c 20 34 2c 30 78 32 30 31 36 2c 30 78 30 30 30 36 2c 2d 130,524,.8,.4,.4,0x2016,0x0006,-
64ee0 32 2c 20 36 2c 30 78 31 30 31 30 2c 61 73 69 64 32 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2,.6,0x1010,asid2,.............0
64f00 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 31 30 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 ,0,&xtensa_mask10,0,0,0)...XTREG
64f20 28 31 33 31 2c 35 32 38 2c 20 38 2c 20 34 2c 20 34 2c 30 78 32 30 31 37 2c 30 78 30 30 30 36 2c (131,528,.8,.4,.4,0x2017,0x0006,
64f40 2d 32 2c 20 36 2c 30 78 31 30 31 30 2c 61 73 69 64 31 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 -2,.6,0x1010,asid1,.............
64f60 30 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 31 31 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 0,0,&xtensa_mask11,0,0,0)...XTRE
64f80 47 28 31 33 32 2c 35 33 32 2c 20 31 2c 20 34 2c 20 34 2c 30 78 32 30 31 38 2c 30 78 30 30 30 36 G(132,532,.1,.4,.4,0x2018,0x0006
64fa0 2c 2d 32 2c 20 36 2c 30 78 31 30 31 30 2c 69 6e 73 74 70 67 73 7a 69 64 36 2c 0a 20 20 20 20 20 ,-2,.6,0x1010,instpgszid6,......
64fc0 20 20 20 20 20 20 20 30 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 31 32 2c 30 2c 30 2c 30 29 .......0,0,&xtensa_mask12,0,0,0)
64fe0 0a 20 20 58 54 52 45 47 28 31 33 33 2c 35 33 36 2c 20 31 2c 20 34 2c 20 34 2c 30 78 32 30 31 39 ...XTREG(133,536,.1,.4,.4,0x2019
65000 2c 30 78 30 30 30 36 2c 2d 32 2c 20 36 2c 30 78 31 30 31 30 2c 69 6e 73 74 70 67 73 7a 69 64 35 ,0x0006,-2,.6,0x1010,instpgszid5
65020 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 31 33 ,.............0,0,&xtensa_mask13
65040 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 33 34 2c 35 34 30 2c 20 32 2c 20 34 2c 20 34 ,0,0,0)...XTREG(134,540,.2,.4,.4
65060 2c 30 78 32 30 31 61 2c 30 78 30 30 30 36 2c 2d 32 2c 20 36 2c 30 78 31 30 31 30 2c 69 6e 73 74 ,0x201a,0x0006,-2,.6,0x1010,inst
65080 70 67 73 7a 69 64 34 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 26 78 74 65 6e 73 61 pgszid4,.............0,0,&xtensa
650a0 5f 6d 61 73 6b 31 34 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 33 35 2c 35 34 34 2c 20 _mask14,0,0,0)...XTREG(135,544,.
650c0 31 2c 20 34 2c 20 34 2c 30 78 32 30 31 62 2c 30 78 30 30 30 36 2c 2d 32 2c 20 36 2c 30 78 31 30 1,.4,.4,0x201b,0x0006,-2,.6,0x10
650e0 31 30 2c 64 61 74 61 70 67 73 7a 69 64 36 2c 0a 20 20 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 10,datapgszid6,.............0,0,
65100 26 78 74 65 6e 73 61 5f 6d 61 73 6b 31 35 2c 30 2c 30 2c 30 29 0a 20 20 58 54 52 45 47 28 31 33 &xtensa_mask15,0,0,0)...XTREG(13
65120 36 2c 35 34 38 2c 20 31 2c 20 34 2c 20 34 2c 30 78 32 30 31 63 2c 30 78 30 30 30 36 2c 2d 32 2c 6,548,.1,.4,.4,0x201c,0x0006,-2,
65140 20 36 2c 30 78 31 30 31 30 2c 64 61 74 61 70 67 73 7a 69 64 35 2c 0a 20 20 20 20 20 20 20 20 20 .6,0x1010,datapgszid5,..........
65160 20 20 20 30 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 31 36 2c 30 2c 30 2c 30 29 0a 20 20 58 ...0,0,&xtensa_mask16,0,0,0)...X
65180 54 52 45 47 28 31 33 37 2c 35 35 32 2c 20 32 2c 20 34 2c 20 34 2c 30 78 32 30 31 64 2c 30 78 30 TREG(137,552,.2,.4,.4,0x201d,0x0
651a0 30 30 36 2c 2d 32 2c 20 36 2c 30 78 31 30 31 30 2c 64 61 74 61 70 67 73 7a 69 64 34 2c 0a 20 20 006,-2,.6,0x1010,datapgszid4,...
651c0 20 20 20 20 20 20 20 20 20 20 30 2c 30 2c 26 78 74 65 6e 73 61 5f 6d 61 73 6b 31 37 2c 30 2c 30 ..........0,0,&xtensa_mask17,0,0
651e0 2c 30 29 0a 20 20 58 54 52 45 47 5f 45 4e 44 0a 7d 3b 0a 0a 0a 0a 23 69 66 64 65 66 20 58 54 45 ,0)...XTREG_END.};....#ifdef.XTE
65200 4e 53 41 5f 43 4f 4e 46 49 47 5f 49 4e 53 54 41 4e 54 49 41 54 45 0a 58 54 45 4e 53 41 5f 43 4f NSA_CONFIG_INSTANTIATE.XTENSA_CO
65220 4e 46 49 47 5f 49 4e 53 54 41 4e 54 49 41 54 45 28 72 6d 61 70 2c 30 29 0a 23 65 6e 64 69 66 0a NFIG_INSTANTIATE(rmap,0).#endif.
65240 0a 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
652a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
652c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
652e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
653a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
653c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
653e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65400 67 64 62 2f 62 66 64 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 gdb/bfd/........................
65420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65460 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
65480 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 32 35 30 32 00 20 35 00 00 00 0000000.13013421467.012502..5...
654a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
654c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
654e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65500 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
65520 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
65540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
655a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
655c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
655e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65600 67 64 62 2f 62 66 64 2f 78 74 65 6e 73 61 2d 6d 6f 64 75 6c 65 73 2e 63 00 00 00 00 00 00 00 00 gdb/bfd/xtensa-modules.c........
65620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65660 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
65680 31 33 34 36 32 30 31 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 35 36 32 35 00 20 30 00 00 00 1346201.13013421467.015625..0...
656a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
656c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
656e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65700 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
65720 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
65740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
657a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
657c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
657e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
65800 2f 2a 20 58 74 65 6e 73 61 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 2d 73 70 65 63 69 66 69 63 /*.Xtensa.configuration-specific
65820 20 49 53 41 20 69 6e 66 6f 72 6d 61 74 69 6f 6e 2e 0a 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 .ISA.information......Copyright.
65840 28 63 29 20 32 30 30 33 2d 32 30 31 36 20 54 65 6e 73 69 6c 69 63 61 20 49 6e 63 2e 0a 0a 20 20 (c).2003-2016.Tensilica.Inc.....
65860 20 50 65 72 6d 69 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 79 20 67 72 61 6e 74 65 64 2c 20 66 .Permission.is.hereby.granted,.f
65880 72 65 65 20 6f 66 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e 79 20 70 65 72 73 6f 6e 20 6f 62 74 ree.of.charge,.to.any.person.obt
658a0 61 69 6e 69 6e 67 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 74 68 69 73 20 73 6f 66 74 77 61 72 aining....a.copy.of.this.softwar
658c0 65 20 61 6e 64 20 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 66 e.and.associated.documentation.f
658e0 69 6c 65 73 20 28 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 72 65 22 29 2c 20 74 6f 20 64 65 61 iles.(the...."Software"),.to.dea
65900 6c 20 69 6e 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 74 68 6f 75 74 20 72 65 73 74 72 69 l.in.the.Software.without.restri
65920 63 74 69 6f 6e 2c 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 77 69 74 68 6f 75 74 20 6c 69 6d 69 ction,.including....without.limi
65940 74 61 74 69 6f 6e 20 74 68 65 20 72 69 67 68 74 73 20 74 6f 20 75 73 65 2c 20 63 6f 70 79 2c 20 tation.the.rights.to.use,.copy,.
65960 6d 6f 64 69 66 79 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 73 68 2c 0a 20 20 20 64 69 73 74 72 modify,.merge,.publish,....distr
65980 69 62 75 74 65 2c 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 ibute,.sublicense,.and/or.sell.c
659a0 6f 70 69 65 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2c 20 61 6e 64 20 74 6f 0a 20 20 opies.of.the.Software,.and.to...
659c0 20 70 65 72 6d 69 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 68 6f 6d 20 74 68 65 20 53 6f 66 74 .permit.persons.to.whom.the.Soft
659e0 77 61 72 65 20 69 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f 20 64 6f 20 73 6f 2c 20 73 75 62 6a ware.is.furnished.to.do.so,.subj
65a00 65 63 74 20 74 6f 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 69 6e 67 20 63 6f 6e 64 69 74 69 6f ect.to....the.following.conditio
65a20 6e 73 3a 0a 0a 20 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f 70 79 72 69 67 68 74 20 6e 6f 74 69 ns:.....The.above.copyright.noti
65a40 63 65 20 61 6e 64 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 6f 6e 20 6e 6f 74 69 63 65 20 73 68 ce.and.this.permission.notice.sh
65a60 61 6c 6c 20 62 65 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 6e 20 61 6c 6c 20 63 6f 70 69 65 73 all.be.included....in.all.copies
65a80 20 6f 72 20 73 75 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 69 6f 6e 73 20 6f 66 20 74 68 65 20 .or.substantial.portions.of.the.
65aa0 53 6f 66 74 77 61 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f 46 54 57 41 52 45 20 49 53 20 50 52 Software......THE.SOFTWARE.IS.PR
65ac0 4f 56 49 44 45 44 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 4f 55 54 20 57 41 52 52 41 4e 54 59 OVIDED."AS.IS",.WITHOUT.WARRANTY
65ae0 20 4f 46 20 41 4e 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 52 45 53 53 20 4f 52 20 49 4d 50 4c .OF.ANY.KIND,....EXPRESS.OR.IMPL
65b00 49 45 44 2c 20 49 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e 4f 54 20 4c 49 4d 49 54 45 44 20 54 IED,.INCLUDING.BUT.NOT.LIMITED.T
65b20 4f 20 54 48 45 20 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a 20 20 20 4d 45 52 43 48 41 4e 54 41 O.THE.WARRANTIES.OF....MERCHANTA
65b40 42 49 4c 49 54 59 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 41 52 54 49 43 55 4c 41 52 BILITY,.FITNESS.FOR.A.PARTICULAR
65b60 20 50 55 52 50 4f 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 .PURPOSE.AND.NONINFRINGEMENT....
65b80 20 49 4e 20 4e 4f 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 48 45 20 41 55 54 48 4f 52 53 20 4f .IN.NO.EVENT.SHALL.THE.AUTHORS.O
65ba0 52 20 43 4f 50 59 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 42 45 20 4c 49 41 42 4c 45 20 46 4f R.COPYRIGHT.HOLDERS.BE.LIABLE.FO
65bc0 52 20 41 4e 59 0a 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 47 45 53 20 4f 52 20 4f 54 48 45 52 R.ANY....CLAIM,.DAMAGES.OR.OTHER
65be0 20 4c 49 41 42 49 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 49 4e 20 41 4e 20 41 43 54 49 4f 4e .LIABILITY,.WHETHER.IN.AN.ACTION
65c00 20 4f 46 20 43 4f 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 54 20 4f 52 20 4f 54 48 45 52 57 49 .OF.CONTRACT,....TORT.OR.OTHERWI
65c20 53 45 2c 20 41 52 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 54 20 4f 46 20 4f 52 20 49 4e 20 43 SE,.ARISING.FROM,.OUT.OF.OR.IN.C
65c40 4f 4e 4e 45 43 54 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 20 20 53 4f 46 54 57 41 52 45 20 4f ONNECTION.WITH.THE....SOFTWARE.O
65c60 52 20 54 48 45 20 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 45 41 4c 49 4e 47 53 20 49 4e 20 54 R.THE.USE.OR.OTHER.DEALINGS.IN.T
65c80 48 45 20 53 4f 46 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 23 69 6e 63 6c 75 64 65 20 22 61 6e 73 69 HE.SOFTWARE...*/..#include."ansi
65ca0 64 65 63 6c 2e 68 22 0a 23 69 6e 63 6c 75 64 65 20 3c 78 74 65 6e 73 61 2d 69 73 61 2e 68 3e 0a decl.h".#include.<xtensa-isa.h>.
65cc0 23 69 6e 63 6c 75 64 65 20 22 78 74 65 6e 73 61 2d 69 73 61 2d 69 6e 74 65 72 6e 61 6c 2e 68 22 #include."xtensa-isa-internal.h"
65ce0 0a 0a 0c 0a 2f 2a 20 53 79 73 72 65 67 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 78 74 65 6e ..../*.Sysregs...*/..static.xten
65d00 73 61 5f 73 79 73 72 65 67 5f 69 6e 74 65 72 6e 61 6c 20 73 79 73 72 65 67 73 5b 5d 20 3d 20 7b sa_sysreg_internal.sysregs[].=.{
65d20 0a 20 20 7b 20 22 4c 42 45 47 22 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4c 45 4e 44 22 2c ...{."LBEG",.0,.0.},...{."LEND",
65d40 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4c 43 4f 55 4e 54 22 2c 20 32 2c 20 30 20 7d 2c 0a 20 .1,.0.},...{."LCOUNT",.2,.0.},..
65d60 20 7b 20 22 41 43 43 4c 4f 22 2c 20 31 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 43 43 48 49 22 .{."ACCLO",.16,.0.},...{."ACCHI"
65d80 2c 20 31 37 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 30 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 ,.17,.0.},...{."M0",.32,.0.},...
65da0 7b 20 22 4d 31 22 2c 20 33 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 32 22 2c 20 33 34 2c 20 30 {."M1",.33,.0.},...{."M2",.34,.0
65dc0 20 7d 2c 0a 20 20 7b 20 22 4d 33 22 2c 20 33 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 54 45 56 .},...{."M3",.35,.0.},...{."PTEV
65de0 41 44 44 52 22 2c 20 38 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 4d 49 44 22 2c 20 38 39 2c 20 ADDR",.83,.0.},...{."MMID",.89,.
65e00 30 20 7d 2c 0a 20 20 7b 20 22 44 44 52 22 2c 20 31 30 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 0.},...{."DDR",.104,.0.},...{."C
65e20 4f 4e 46 49 47 49 44 30 22 2c 20 31 37 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 4f 4e 46 49 47 ONFIGID0",.176,.0.},...{."CONFIG
65e40 49 44 31 22 2c 20 32 30 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 4e 54 45 52 52 55 50 54 22 2c ID1",.208,.0.},...{."INTERRUPT",
65e60 20 32 32 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 4e 54 43 4c 45 41 52 22 2c 20 32 32 37 2c 20 .226,.0.},...{."INTCLEAR",.227,.
65e80 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 55 4e 54 22 2c 20 32 33 34 2c 20 30 20 7d 2c 0a 20 20 7b 0.},...{."CCOUNT",.234,.0.},...{
65ea0 20 22 50 52 49 44 22 2c 20 32 33 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 43 4f 55 4e 54 22 2c ."PRID",.235,.0.},...{."ICOUNT",
65ec0 20 32 33 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 30 22 2c 20 32 34 30 2c .236,.0.},...{."CCOMPARE0",.240,
65ee0 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 31 22 2c 20 32 34 31 2c 20 30 20 7d 2c .0.},...{."CCOMPARE1",.241,.0.},
65f00 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 32 22 2c 20 32 34 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 ...{."CCOMPARE2",.242,.0.},...{.
65f20 22 56 45 43 42 41 53 45 22 2c 20 32 33 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 31 22 2c "VECBASE",.231,.0.},...{."EPC1",
65f40 20 31 37 37 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 32 22 2c 20 31 37 38 2c 20 30 20 7d 2c .177,.0.},...{."EPC2",.178,.0.},
65f60 0a 20 20 7b 20 22 45 50 43 33 22 2c 20 31 37 39 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 34 ...{."EPC3",.179,.0.},...{."EPC4
65f80 22 2c 20 31 38 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 35 22 2c 20 31 38 31 2c 20 30 20 ",.180,.0.},...{."EPC5",.181,.0.
65fa0 7d 2c 0a 20 20 7b 20 22 45 50 43 36 22 2c 20 31 38 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 },...{."EPC6",.182,.0.},...{."EP
65fc0 43 37 22 2c 20 31 38 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 31 22 2c 20 32 C7",.183,.0.},...{."EXCSAVE1",.2
65fe0 30 39 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 32 22 2c 20 32 31 30 2c 20 30 20 09,.0.},...{."EXCSAVE2",.210,.0.
66000 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 33 22 2c 20 32 31 31 2c 20 30 20 7d 2c 0a 20 20 7b },...{."EXCSAVE3",.211,.0.},...{
66020 20 22 45 58 43 53 41 56 45 34 22 2c 20 32 31 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 ."EXCSAVE4",.212,.0.},...{."EXCS
66040 41 56 45 35 22 2c 20 32 31 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 36 22 2c AVE5",.213,.0.},...{."EXCSAVE6",
66060 20 32 31 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 37 22 2c 20 32 31 35 2c 20 .214,.0.},...{."EXCSAVE7",.215,.
66080 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 32 22 2c 20 31 39 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 0.},...{."EPS2",.194,.0.},...{."
660a0 45 50 53 33 22 2c 20 31 39 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 34 22 2c 20 31 39 36 EPS3",.195,.0.},...{."EPS4",.196
660c0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 35 22 2c 20 31 39 37 2c 20 30 20 7d 2c 0a 20 20 7b ,.0.},...{."EPS5",.197,.0.},...{
660e0 20 22 45 50 53 36 22 2c 20 31 39 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 37 22 2c 20 31 ."EPS6",.198,.0.},...{."EPS7",.1
66100 39 39 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 43 41 55 53 45 22 2c 20 32 33 32 2c 20 30 20 99,.0.},...{."EXCCAUSE",.232,.0.
66120 7d 2c 0a 20 20 7b 20 22 44 45 50 43 22 2c 20 31 39 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 },...{."DEPC",.192,.0.},...{."EX
66140 43 56 41 44 44 52 22 2c 20 32 33 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 57 49 4e 44 4f 57 42 41 CVADDR",.238,.0.},...{."WINDOWBA
66160 53 45 22 2c 20 37 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 57 49 4e 44 4f 57 53 54 41 52 54 22 2c SE",.72,.0.},...{."WINDOWSTART",
66180 20 37 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 53 41 52 22 2c 20 33 2c 20 30 20 7d 2c 0a 20 20 7b .73,.0.},...{."SAR",.3,.0.},...{
661a0 20 22 50 53 22 2c 20 32 33 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 49 53 43 30 22 2c 20 32 34 ."PS",.230,.0.},...{."MISC0",.24
661c0 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 49 53 43 31 22 2c 20 32 34 35 2c 20 30 20 7d 2c 0a 20 4,.0.},...{."MISC1",.245,.0.},..
661e0 20 7b 20 22 49 4e 54 45 4e 41 42 4c 45 22 2c 20 32 32 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 .{."INTENABLE",.228,.0.},...{."D
66200 42 52 45 41 4b 41 30 22 2c 20 31 34 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 43 BREAKA0",.144,.0.},...{."DBREAKC
66220 30 22 2c 20 31 36 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 41 31 22 2c 20 31 34 0",.160,.0.},...{."DBREAKA1",.14
66240 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 43 31 22 2c 20 31 36 31 2c 20 30 20 7d 5,.0.},...{."DBREAKC1",.161,.0.}
66260 2c 0a 20 20 7b 20 22 49 42 52 45 41 4b 41 30 22 2c 20 31 32 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 ,...{."IBREAKA0",.128,.0.},...{.
66280 22 49 42 52 45 41 4b 41 31 22 2c 20 31 32 39 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 42 52 45 41 "IBREAKA1",.129,.0.},...{."IBREA
662a0 4b 45 4e 41 42 4c 45 22 2c 20 39 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 43 4f 55 4e 54 4c 45 KENABLE",.96,.0.},...{."ICOUNTLE
662c0 56 45 4c 22 2c 20 32 33 37 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 45 42 55 47 43 41 55 53 45 22 VEL",.237,.0.},...{."DEBUGCAUSE"
662e0 2c 20 32 33 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 52 41 53 49 44 22 2c 20 39 30 2c 20 30 20 7d ,.233,.0.},...{."RASID",.90,.0.}
66300 2c 0a 20 20 7b 20 22 49 54 4c 42 43 46 47 22 2c 20 39 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 ,...{."ITLBCFG",.91,.0.},...{."D
66320 54 4c 42 43 46 47 22 2c 20 39 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 50 45 4e 41 42 4c 45 22 TLBCFG",.92,.0.},...{."CPENABLE"
66340 2c 20 32 32 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 53 43 4f 4d 50 41 52 45 31 22 2c 20 31 32 2c ,.224,.0.},...{."SCOMPARE1",.12,
66360 20 30 20 7d 2c 0a 20 20 7b 20 22 41 54 4f 4d 43 54 4c 22 2c 20 39 39 2c 20 30 20 7d 2c 0a 20 20 .0.},...{."ATOMCTL",.99,.0.},...
66380 7b 20 22 54 48 52 45 41 44 50 54 52 22 2c 20 32 33 31 2c 20 31 20 7d 2c 0a 20 20 7b 20 22 45 58 {."THREADPTR",.231,.1.},...{."EX
663a0 50 53 54 41 54 45 22 2c 20 32 33 30 2c 20 31 20 7d 0a 7d 3b 0a 0a 23 64 65 66 69 6e 65 20 4e 55 PSTATE",.230,.1.}.};..#define.NU
663c0 4d 5f 53 59 53 52 45 47 53 20 37 30 0a 23 64 65 66 69 6e 65 20 4d 41 58 5f 53 50 45 43 49 41 4c M_SYSREGS.70.#define.MAX_SPECIAL
663e0 5f 52 45 47 20 32 34 35 0a 23 64 65 66 69 6e 65 20 4d 41 58 5f 55 53 45 52 5f 52 45 47 20 32 33 _REG.245.#define.MAX_USER_REG.23
66400 31 0a 0a 0c 0a 2f 2a 20 50 72 6f 63 65 73 73 6f 72 20 73 74 61 74 65 73 2e 20 20 2a 2f 0a 0a 73 1..../*.Processor.states...*/..s
66420 74 61 74 69 63 20 78 74 65 6e 73 61 5f 73 74 61 74 65 5f 69 6e 74 65 72 6e 61 6c 20 73 74 61 74 tatic.xtensa_state_internal.stat
66440 65 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 22 4c 43 4f 55 4e 54 22 2c 20 33 32 2c 20 30 20 7d 2c 0a es[].=.{...{."LCOUNT",.32,.0.},.
66460 20 20 7b 20 22 50 43 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 43 4f 55 4e 54 22 2c ..{."PC",.32,.0.},...{."ICOUNT",
66480 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 44 52 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 .32,.0.},...{."DDR",.32,.0.},...
664a0 7b 20 22 49 4e 54 45 52 52 55 50 54 22 2c 20 32 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f {."INTERRUPT",.22,.0.},...{."CCO
664c0 55 4e 54 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 58 54 53 59 4e 43 22 2c 20 31 2c 20 UNT",.32,.0.},...{."XTSYNC",.1,.
664e0 30 20 7d 2c 0a 20 20 7b 20 22 56 45 43 42 41 53 45 22 2c 20 32 32 2c 20 30 20 7d 2c 0a 20 20 7b 0.},...{."VECBASE",.22,.0.},...{
66500 20 22 45 50 43 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 32 22 2c 20 33 32 ."EPC1",.32,.0.},...{."EPC2",.32
66520 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 33 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 ,.0.},...{."EPC3",.32,.0.},...{.
66540 22 45 50 43 34 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 35 22 2c 20 33 32 2c "EPC4",.32,.0.},...{."EPC5",.32,
66560 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 43 36 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 .0.},...{."EPC6",.32,.0.},...{."
66580 45 50 43 37 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 31 22 2c 20 EPC7",.32,.0.},...{."EXCSAVE1",.
665a0 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 32 22 2c 20 33 32 2c 20 30 20 7d 32,.0.},...{."EXCSAVE2",.32,.0.}
665c0 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 33 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 ,...{."EXCSAVE3",.32,.0.},...{."
665e0 45 58 43 53 41 56 45 34 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 EXCSAVE4",.32,.0.},...{."EXCSAVE
66600 35 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 36 22 2c 20 33 32 2c 5",.32,.0.},...{."EXCSAVE6",.32,
66620 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 43 53 41 56 45 37 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 .0.},...{."EXCSAVE7",.32,.0.},..
66640 20 7b 20 22 45 50 53 32 22 2c 20 31 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 33 22 2c 20 .{."EPS2",.15,.0.},...{."EPS3",.
66660 31 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 34 22 2c 20 31 35 2c 20 30 20 7d 2c 0a 20 20 15,.0.},...{."EPS4",.15,.0.},...
66680 7b 20 22 45 50 53 35 22 2c 20 31 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 36 22 2c 20 31 {."EPS5",.15,.0.},...{."EPS6",.1
666a0 35 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 50 53 37 22 2c 20 31 35 2c 20 30 20 7d 2c 0a 20 20 7b 5,.0.},...{."EPS7",.15,.0.},...{
666c0 20 22 45 58 43 43 41 55 53 45 22 2c 20 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 49 4e 54 4c ."EXCCAUSE",.6,.0.},...{."PSINTL
666e0 45 56 45 4c 22 2c 20 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 55 4d 22 2c 20 31 2c 20 30 20 EVEL",.4,.0.},...{."PSUM",.1,.0.
66700 7d 2c 0a 20 20 7b 20 22 50 53 57 4f 45 22 2c 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 52 },...{."PSWOE",.1,.0.},...{."PSR
66720 49 4e 47 22 2c 20 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 45 58 43 4d 22 2c 20 31 2c 20 30 ING",.2,.0.},...{."PSEXCM",.1,.0
66740 20 7d 2c 0a 20 20 7b 20 22 44 45 50 43 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 .},...{."DEPC",.32,.0.},...{."EX
66760 43 56 41 44 44 52 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 57 69 6e 64 6f 77 42 61 73 CVADDR",.32,.0.},...{."WindowBas
66780 65 22 2c 20 33 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 57 69 6e 64 6f 77 53 74 61 72 74 22 2c 20 38 e",.3,.0.},...{."WindowStart",.8
667a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 53 43 41 4c 4c 49 4e 43 22 2c 20 32 2c 20 30 20 7d 2c 0a ,.0.},...{."PSCALLINC",.2,.0.},.
667c0 20 20 7b 20 22 50 53 4f 57 42 22 2c 20 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4c 42 45 47 22 2c ..{."PSOWB",.4,.0.},...{."LBEG",
667e0 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4c 45 4e 44 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 .32,.0.},...{."LEND",.32,.0.},..
66800 20 7b 20 22 53 41 52 22 2c 20 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 54 48 52 45 41 44 50 54 52 .{."SAR",.6,.0.},...{."THREADPTR
66820 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 4d 49 53 43 30 22 2c 20 33 32 2c 20 30 20 7d ",.32,.0.},...{."MISC0",.32,.0.}
66840 2c 0a 20 20 7b 20 22 4d 49 53 43 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 43 43 ,...{."MISC1",.32,.0.},...{."ACC
66860 22 2c 20 34 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 6e 4f 43 44 4d 6f 64 65 22 2c 20 31 2c 20 ",.40,.0.},...{."InOCDMode",.1,.
66880 30 20 7d 2c 0a 20 20 7b 20 22 49 4e 54 45 4e 41 42 4c 45 22 2c 20 32 32 2c 20 30 20 7d 2c 0a 20 0.},...{."INTENABLE",.22,.0.},..
668a0 20 7b 20 22 44 42 52 45 41 4b 41 30 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 .{."DBREAKA0",.32,.0.},...{."DBR
668c0 45 41 4b 43 30 22 2c 20 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 41 31 22 2c 20 EAKC0",.8,.0.},...{."DBREAKA1",.
668e0 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 42 52 45 41 4b 43 31 22 2c 20 38 2c 20 30 20 7d 2c 32,.0.},...{."DBREAKC1",.8,.0.},
66900 0a 20 20 7b 20 22 49 42 52 45 41 4b 41 30 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 ...{."IBREAKA0",.32,.0.},...{."I
66920 42 52 45 41 4b 41 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 42 52 45 41 4b 45 4e BREAKA1",.32,.0.},...{."IBREAKEN
66940 41 42 4c 45 22 2c 20 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 49 43 4f 55 4e 54 4c 45 56 45 4c 22 ABLE",.2,.0.},...{."ICOUNTLEVEL"
66960 2c 20 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 45 42 55 47 43 41 55 53 45 22 2c 20 36 2c 20 30 ,.4,.0.},...{."DEBUGCAUSE",.6,.0
66980 20 7d 2c 0a 20 20 7b 20 22 44 42 4e 55 4d 22 2c 20 34 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 .},...{."DBNUM",.4,.0.},...{."CC
669a0 4f 4d 50 41 52 45 30 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 OMPARE0",.32,.0.},...{."CCOMPARE
669c0 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 43 43 4f 4d 50 41 52 45 32 22 2c 20 33 32 1",.32,.0.},...{."CCOMPARE2",.32
669e0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 53 49 44 33 22 2c 20 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 ,.0.},...{."ASID3",.8,.0.},...{.
66a00 22 41 53 49 44 32 22 2c 20 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 53 49 44 31 22 2c 20 38 2c "ASID2",.8,.0.},...{."ASID1",.8,
66a20 20 30 20 7d 2c 0a 20 20 7b 20 22 49 4e 53 54 50 47 53 5a 49 44 36 22 2c 20 31 2c 20 30 20 7d 2c .0.},...{."INSTPGSZID6",.1,.0.},
66a40 0a 20 20 7b 20 22 49 4e 53 54 50 47 53 5a 49 44 35 22 2c 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 ...{."INSTPGSZID5",.1,.0.},...{.
66a60 22 49 4e 53 54 50 47 53 5a 49 44 34 22 2c 20 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 41 54 41 "INSTPGSZID4",.2,.0.},...{."DATA
66a80 50 47 53 5a 49 44 36 22 2c 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 41 54 41 50 47 53 5a 49 PGSZID6",.1,.0.},...{."DATAPGSZI
66aa0 44 35 22 2c 20 31 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 44 41 54 41 50 47 53 5a 49 44 34 22 2c 20 D5",.1,.0.},...{."DATAPGSZID4",.
66ac0 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 50 54 42 41 53 45 22 2c 20 31 30 2c 20 30 20 7d 2c 0a 20 2,.0.},...{."PTBASE",.10,.0.},..
66ae0 20 7b 20 22 43 50 45 4e 41 42 4c 45 22 2c 20 38 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 53 43 4f 4d .{."CPENABLE",.8,.0.},...{."SCOM
66b00 50 41 52 45 31 22 2c 20 33 32 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 41 54 4f 4d 43 54 4c 22 2c 20 PARE1",.32,.0.},...{."ATOMCTL",.
66b20 36 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 45 58 50 53 54 41 54 45 22 2c 20 33 32 2c 20 58 54 45 4e 6,.0.},...{."EXPSTATE",.32,.XTEN
66b40 53 41 5f 53 54 41 54 45 5f 49 53 5f 45 58 50 4f 52 54 45 44 20 7d 0a 7d 3b 0a 0a 23 64 65 66 69 SA_STATE_IS_EXPORTED.}.};..#defi
66b60 6e 65 20 4e 55 4d 5f 53 54 41 54 45 53 20 37 36 0a 0a 65 6e 75 6d 20 78 74 65 6e 73 61 5f 73 74 ne.NUM_STATES.76..enum.xtensa_st
66b80 61 74 65 5f 69 64 20 7b 0a 20 20 53 54 41 54 45 5f 4c 43 4f 55 4e 54 2c 0a 20 20 53 54 41 54 45 ate_id.{...STATE_LCOUNT,...STATE
66ba0 5f 50 43 2c 0a 20 20 53 54 41 54 45 5f 49 43 4f 55 4e 54 2c 0a 20 20 53 54 41 54 45 5f 44 44 52 _PC,...STATE_ICOUNT,...STATE_DDR
66bc0 2c 0a 20 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 2c 0a 20 20 53 54 41 54 45 5f 43 43 4f ,...STATE_INTERRUPT,...STATE_CCO
66be0 55 4e 54 2c 0a 20 20 53 54 41 54 45 5f 58 54 53 59 4e 43 2c 0a 20 20 53 54 41 54 45 5f 56 45 43 UNT,...STATE_XTSYNC,...STATE_VEC
66c00 42 41 53 45 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 31 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 32 BASE,...STATE_EPC1,...STATE_EPC2
66c20 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 33 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 34 2c 0a 20 20 ,...STATE_EPC3,...STATE_EPC4,...
66c40 53 54 41 54 45 5f 45 50 43 35 2c 0a 20 20 53 54 41 54 45 5f 45 50 43 36 2c 0a 20 20 53 54 41 54 STATE_EPC5,...STATE_EPC6,...STAT
66c60 45 5f 45 50 43 37 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 31 2c 0a 20 20 53 54 41 54 E_EPC7,...STATE_EXCSAVE1,...STAT
66c80 45 5f 45 58 43 53 41 56 45 32 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 33 2c 0a 20 20 E_EXCSAVE2,...STATE_EXCSAVE3,...
66ca0 53 54 41 54 45 5f 45 58 43 53 41 56 45 34 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 35 STATE_EXCSAVE4,...STATE_EXCSAVE5
66cc0 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 36 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 53 ,...STATE_EXCSAVE6,...STATE_EXCS
66ce0 41 56 45 37 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 32 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 33 AVE7,...STATE_EPS2,...STATE_EPS3
66d00 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 34 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 35 2c 0a 20 20 ,...STATE_EPS4,...STATE_EPS5,...
66d20 53 54 41 54 45 5f 45 50 53 36 2c 0a 20 20 53 54 41 54 45 5f 45 50 53 37 2c 0a 20 20 53 54 41 54 STATE_EPS6,...STATE_EPS7,...STAT
66d40 45 5f 45 58 43 43 41 55 53 45 2c 0a 20 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 2c 0a E_EXCCAUSE,...STATE_PSINTLEVEL,.
66d60 20 20 53 54 41 54 45 5f 50 53 55 4d 2c 0a 20 20 53 54 41 54 45 5f 50 53 57 4f 45 2c 0a 20 20 53 ..STATE_PSUM,...STATE_PSWOE,...S
66d80 54 41 54 45 5f 50 53 52 49 4e 47 2c 0a 20 20 53 54 41 54 45 5f 50 53 45 58 43 4d 2c 0a 20 20 53 TATE_PSRING,...STATE_PSEXCM,...S
66da0 54 41 54 45 5f 44 45 50 43 2c 0a 20 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 2c 0a 20 20 53 TATE_DEPC,...STATE_EXCVADDR,...S
66dc0 54 41 54 45 5f 57 69 6e 64 6f 77 42 61 73 65 2c 0a 20 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 53 TATE_WindowBase,...STATE_WindowS
66de0 74 61 72 74 2c 0a 20 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 2c 0a 20 20 53 54 41 54 45 tart,...STATE_PSCALLINC,...STATE
66e00 5f 50 53 4f 57 42 2c 0a 20 20 53 54 41 54 45 5f 4c 42 45 47 2c 0a 20 20 53 54 41 54 45 5f 4c 45 _PSOWB,...STATE_LBEG,...STATE_LE
66e20 4e 44 2c 0a 20 20 53 54 41 54 45 5f 53 41 52 2c 0a 20 20 53 54 41 54 45 5f 54 48 52 45 41 44 50 ND,...STATE_SAR,...STATE_THREADP
66e40 54 52 2c 0a 20 20 53 54 41 54 45 5f 4d 49 53 43 30 2c 0a 20 20 53 54 41 54 45 5f 4d 49 53 43 31 TR,...STATE_MISC0,...STATE_MISC1
66e60 2c 0a 20 20 53 54 41 54 45 5f 41 43 43 2c 0a 20 20 53 54 41 54 45 5f 49 6e 4f 43 44 4d 6f 64 65 ,...STATE_ACC,...STATE_InOCDMode
66e80 2c 0a 20 20 53 54 41 54 45 5f 49 4e 54 45 4e 41 42 4c 45 2c 0a 20 20 53 54 41 54 45 5f 44 42 52 ,...STATE_INTENABLE,...STATE_DBR
66ea0 45 41 4b 41 30 2c 0a 20 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 30 2c 0a 20 20 53 54 41 54 45 EAKA0,...STATE_DBREAKC0,...STATE
66ec0 5f 44 42 52 45 41 4b 41 31 2c 0a 20 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 31 2c 0a 20 20 53 _DBREAKA1,...STATE_DBREAKC1,...S
66ee0 54 41 54 45 5f 49 42 52 45 41 4b 41 30 2c 0a 20 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 31 2c TATE_IBREAKA0,...STATE_IBREAKA1,
66f00 0a 20 20 53 54 41 54 45 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 2c 0a 20 20 53 54 41 54 45 5f 49 ...STATE_IBREAKENABLE,...STATE_I
66f20 43 4f 55 4e 54 4c 45 56 45 4c 2c 0a 20 20 53 54 41 54 45 5f 44 45 42 55 47 43 41 55 53 45 2c 0a COUNTLEVEL,...STATE_DEBUGCAUSE,.
66f40 20 20 53 54 41 54 45 5f 44 42 4e 55 4d 2c 0a 20 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 30 ..STATE_DBNUM,...STATE_CCOMPARE0
66f60 2c 0a 20 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 31 2c 0a 20 20 53 54 41 54 45 5f 43 43 4f ,...STATE_CCOMPARE1,...STATE_CCO
66f80 4d 50 41 52 45 32 2c 0a 20 20 53 54 41 54 45 5f 41 53 49 44 33 2c 0a 20 20 53 54 41 54 45 5f 41 MPARE2,...STATE_ASID3,...STATE_A
66fa0 53 49 44 32 2c 0a 20 20 53 54 41 54 45 5f 41 53 49 44 31 2c 0a 20 20 53 54 41 54 45 5f 49 4e 53 SID2,...STATE_ASID1,...STATE_INS
66fc0 54 50 47 53 5a 49 44 36 2c 0a 20 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 35 2c 0a 20 TPGSZID6,...STATE_INSTPGSZID5,..
66fe0 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 34 2c 0a 20 20 53 54 41 54 45 5f 44 41 54 41 .STATE_INSTPGSZID4,...STATE_DATA
67000 50 47 53 5a 49 44 36 2c 0a 20 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 35 2c 0a 20 20 PGSZID6,...STATE_DATAPGSZID5,...
67020 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 34 2c 0a 20 20 53 54 41 54 45 5f 50 54 42 41 53 STATE_DATAPGSZID4,...STATE_PTBAS
67040 45 2c 0a 20 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 2c 0a 20 20 53 54 41 54 45 5f 53 43 4f E,...STATE_CPENABLE,...STATE_SCO
67060 4d 50 41 52 45 31 2c 0a 20 20 53 54 41 54 45 5f 41 54 4f 4d 43 54 4c 2c 0a 20 20 53 54 41 54 45 MPARE1,...STATE_ATOMCTL,...STATE
67080 5f 45 58 50 53 54 41 54 45 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 46 69 65 6c 64 20 64 65 66 69 6e 69 74 _EXPSTATE.};..../*.Field.definit
670a0 69 6f 6e 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 ions...*/..static.unsigned.Field
670c0 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 _t_Slot_inst_get.(const.xtensa_i
670e0 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 nsnbuf.insn).{...unsigned.tie_t.
67100 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 =.0;...tie_t.=.(tie_t.<<.4).|.((
67120 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 insn[0].<<.24).>>.28);...return.
67140 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 5f 53 6c tie_t;.}..static.void.Field_t_Sl
67160 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e ot_inst_set.(xtensa_insnbuf.insn
67180 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a ,.uint32.val).{...uint32.tie_t;.
671a0 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 ..tie_t.=.(val.<<.28).>>.28;...i
671c0 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 nsn[0].=.(insn[0].&.~0xf0).|.(ti
671e0 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 e_t.<<.4);.}..static.unsigned.Fi
67200 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 eld_s_Slot_inst_get.(const.xtens
67220 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 a_insnbuf.insn).{...unsigned.tie
67240 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c _t.=.0;...tie_t.=.(tie_t.<<.4).|
67260 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 .((insn[0].<<.20).>>.28);...retu
67280 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 rn.tie_t;.}..static.void.Field_s
672a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 _Slot_inst_set.(xtensa_insnbuf.i
672c0 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
672e0 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a t;...tie_t.=.(val.<<.28).>>.28;.
67300 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c ..insn[0].=.(insn[0].&.~0xf00).|
67320 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 .(tie_t.<<.8);.}..static.unsigne
67340 64 0a 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 d.Field_r_Slot_inst_get.(const.x
67360 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 tensa_insnbuf.insn).{...unsigned
67380 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 .tie_t.=.0;...tie_t.=.(tie_t.<<.
673a0 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 4).|.((insn[0].<<.16).>>.28);...
673c0 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 return.tie_t;.}..static.void.Fie
673e0 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 ld_r_Slot_inst_set.(xtensa_insnb
67400 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 uf.insn,.uint32.val).{...uint32.
67420 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 tie_t;...tie_t.=.(val.<<.28).>>.
67440 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 28;...insn[0].=.(insn[0].&.~0xf0
67460 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 00).|.(tie_t.<<.12);.}..static.u
67480 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 nsigned.Field_op2_Slot_inst_get.
674a0 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 (const.xtensa_insnbuf.insn).{...
674c0 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 unsigned.tie_t.=.0;...tie_t.=.(t
674e0 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 ie_t.<<.4).|.((insn[0].<<.8).>>.
67500 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 28);...return.tie_t;.}..static.v
67520 6f 69 64 0a 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 oid.Field_op2_Slot_inst_set.(xte
67540 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a nsa_insnbuf.insn,.uint32.val).{.
67560 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c ..uint32.tie_t;...tie_t.=.(val.<
67580 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.28).>>.28;...insn[0].=.(insn[0
675a0 5d 20 26 20 7e 30 78 66 30 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 32 30 29 3b 0a ].&.~0xf00000).|.(tie_t.<<.20);.
675c0 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f }..static.unsigned.Field_op1_Slo
675e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
67600 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
67620 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 .tie_t.=.(tie_t.<<.4).|.((insn[0
67640 5d 20 3c 3c 20 31 32 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b ].<<.12).>>.28);...return.tie_t;
67660 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 .}..static.void.Field_op1_Slot_i
67680 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 nst_set.(xtensa_insnbuf.insn,.ui
676a0 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
676c0 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.28).>>.28;...insn[
676e0 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 30 29 20 7c 20 28 74 69 65 0].=.(insn[0].&.~0xf0000).|.(tie
67700 5f 74 20 3c 3c 20 31 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 _t.<<.16);.}..static.unsigned.Fi
67720 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 eld_op0_Slot_inst_get.(const.xte
67740 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 nsa_insnbuf.insn).{...unsigned.t
67760 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 ie_t.=.0;...tie_t.=.(tie_t.<<.4)
67780 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 .|.((insn[0].<<.28).>>.28);...re
677a0 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 turn.tie_t;.}..static.void.Field
677c0 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 _op0_Slot_inst_set.(xtensa_insnb
677e0 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 uf.insn,.uint32.val).{...uint32.
67800 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 tie_t;...tie_t.=.(val.<<.28).>>.
67820 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 29 28;...insn[0].=.(insn[0].&.~0xf)
67840 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .|.(tie_t.<<.0);.}..static.unsig
67860 6e 65 64 0a 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 ned.Field_n_Slot_inst_get.(const
67880 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
678a0 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
678c0 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a <.2).|.((insn[0].<<.26).>>.30);.
678e0 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
67900 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 ield_n_Slot_inst_set.(xtensa_ins
67920 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
67940 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 29 20 3e 2.tie_t;...tie_t.=.(val.<<.30).>
67960 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.30;...insn[0].=.(insn[0].&.~0x
67980 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 30).|.(tie_t.<<.4);.}..static.un
679a0 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f signed.Field_m_Slot_inst_get.(co
679c0 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 nst.xtensa_insnbuf.insn).{...uns
679e0 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f igned.tie_t.=.0;...tie_t.=.(tie_
67a00 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 30 t.<<.2).|.((insn[0].<<.24).>>.30
67a20 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 );...return.tie_t;.}..static.voi
67a40 64 0a 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f d.Field_m_Slot_inst_set.(xtensa_
67a60 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 insnbuf.insn,.uint32.val).{...ui
67a80 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 nt32.tie_t;...tie_t.=.(val.<<.30
67aa0 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 ).>>.30;...insn[0].=.(insn[0].&.
67ac0 7e 30 78 63 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 ~0xc0).|.(tie_t.<<.6);.}..static
67ae0 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .unsigned.Field_sr_Slot_inst_get
67b00 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 .(const.xtensa_insnbuf.insn).{..
67b20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 .unsigned.tie_t.=.0;...tie_t.=.(
67b40 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e tie_t.<<.4).|.((insn[0].<<.16).>
67b60 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 >.28);...tie_t.=.(tie_t.<<.4).|.
67b80 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 ((insn[0].<<.20).>>.28);...retur
67ba0 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 72 n.tie_t;.}..static.void.Field_sr
67bc0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 _Slot_inst_set.(xtensa_insnbuf.i
67be0 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
67c00 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a t;...tie_t.=.(val.<<.28).>>.28;.
67c20 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c ..insn[0].=.(insn[0].&.~0xf00).|
67c40 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c .(tie_t.<<.8);...tie_t.=.(val.<<
67c60 20 32 34 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d .24).>>.28;...insn[0].=.(insn[0]
67c80 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a .&.~0xf000).|.(tie_t.<<.12);.}..
67ca0 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e static.unsigned.Field_st_Slot_in
67cc0 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 st_get.(const.xtensa_insnbuf.ins
67ce0 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 n).{...unsigned.tie_t.=.0;...tie
67d00 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
67d20 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c .20).>>.28);...tie_t.=.(tie_t.<<
67d40 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 .4).|.((insn[0].<<.24).>>.28);..
67d60 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 .return.tie_t;.}..static.void.Fi
67d80 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 eld_st_Slot_inst_set.(xtensa_ins
67da0 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
67dc0 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 2.tie_t;...tie_t.=.(val.<<.28).>
67de0 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.28;...insn[0].=.(insn[0].&.~0x
67e00 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 f0).|.(tie_t.<<.4);...tie_t.=.(v
67e20 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e al.<<.24).>>.28;...insn[0].=.(in
67e40 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a sn[0].&.~0xf00).|.(tie_t.<<.8);.
67e60 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 74 68 69 33 5f 53 6c }..static.unsigned.Field_thi3_Sl
67e80 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 ot_inst_get.(const.xtensa_insnbu
67ea0 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a f.insn).{...unsigned.tie_t.=.0;.
67ec0 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b ..tie_t.=.(tie_t.<<.3).|.((insn[
67ee0 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 39 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 0].<<.24).>>.29);...return.tie_t
67f00 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 68 69 33 5f 53 6c 6f 74 ;.}..static.void.Field_thi3_Slot
67f20 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 _inst_set.(xtensa_insnbuf.insn,.
67f40 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 uint32.val).{...uint32.tie_t;...
67f60 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 39 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.29).>>.29;...ins
67f80 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 65 30 29 20 7c 20 28 74 69 65 5f n[0].=.(insn[0].&.~0xe0).|.(tie_
67fa0 74 20 3c 3c 20 35 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c t.<<.5);.}..static.unsigned.Fiel
67fc0 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 d_t3_Slot_inst_get.(const.xtensa
67fe0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f _insnbuf.insn).{...unsigned.tie_
68000 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 t.=.0;...tie_t.=.(tie_t.<<.1).|.
68020 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 ((insn[0].<<.24).>>.31);...retur
68040 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 33 n.tie_t;.}..static.void.Field_t3
68060 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 _Slot_inst_set.(xtensa_insnbuf.i
68080 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
680a0 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a t;...tie_t.=.(val.<<.31).>>.31;.
680c0 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 38 30 29 20 7c 20 ..insn[0].=.(insn[0].&.~0x80).|.
680e0 28 74 69 65 5f 74 20 3c 3c 20 37 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 (tie_t.<<.7);.}..static.unsigned
68100 0a 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 .Field_tlo_Slot_inst_get.(const.
68120 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 xtensa_insnbuf.insn).{...unsigne
68140 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c d.tie_t.=.0;...tie_t.=.(tie_t.<<
68160 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a 20 .2).|.((insn[0].<<.26).>>.30);..
68180 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 .return.tie_t;.}..static.void.Fi
681a0 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e eld_tlo_Slot_inst_set.(xtensa_in
681c0 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 snbuf.insn,.uint32.val).{...uint
681e0 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 29 20 32.tie_t;...tie_t.=.(val.<<.30).
68200 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 >>.30;...insn[0].=.(insn[0].&.~0
68220 78 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 x30).|.(tie_t.<<.4);.}..static.u
68240 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 nsigned.Field_w_Slot_inst_get.(c
68260 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e onst.xtensa_insnbuf.insn).{...un
68280 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 signed.tie_t.=.0;...tie_t.=.(tie
682a0 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 38 29 20 3e 3e 20 33 _t.<<.2).|.((insn[0].<<.18).>>.3
682c0 30 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 0);...return.tie_t;.}..static.vo
682e0 69 64 0a 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 id.Field_w_Slot_inst_set.(xtensa
68300 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
68320 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 int32.tie_t;...tie_t.=.(val.<<.3
68340 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 0).>>.30;...insn[0].=.(insn[0].&
68360 20 7e 30 78 33 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 .~0x3000).|.(tie_t.<<.12);.}..st
68380 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 atic.unsigned.Field_r3_Slot_inst
683a0 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 _get.(const.xtensa_insnbuf.insn)
683c0 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 .{...unsigned.tie_t.=.0;...tie_t
683e0 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 .=.(tie_t.<<.1).|.((insn[0].<<.1
68400 36 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 6).>>.31);...return.tie_t;.}..st
68420 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 atic.void.Field_r3_Slot_inst_set
68440 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 .(xtensa_insnbuf.insn,.uint32.va
68460 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 l).{...uint32.tie_t;...tie_t.=.(
68480 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 val.<<.31).>>.31;...insn[0].=.(i
684a0 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 38 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 35 nsn[0].&.~0x8000).|.(tie_t.<<.15
684c0 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 72 68 69 5f );.}..static.unsigned.Field_rhi_
684e0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e Slot_inst_get.(const.xtensa_insn
68500 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 buf.insn).{...unsigned.tie_t.=.0
68520 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 ;...tie_t.=.(tie_t.<<.2).|.((ins
68540 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 33 30 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 n[0].<<.16).>>.30);...return.tie
68560 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 72 68 69 5f 53 6c 6f _t;.}..static.void.Field_rhi_Slo
68580 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c t_inst_set.(xtensa_insnbuf.insn,
685a0 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 .uint32.val).{...uint32.tie_t;..
685c0 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e .tie_t.=.(val.<<.30).>>.30;...in
685e0 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 63 30 30 30 29 20 7c 20 28 74 sn[0].=.(insn[0].&.~0xc000).|.(t
68600 69 65 5f 74 20 3c 3c 20 31 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a ie_t.<<.14);.}..static.unsigned.
68620 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 Field_s3to1_Slot_inst_get.(const
68640 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
68660 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
68680 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 39 29 3b 0a <.3).|.((insn[0].<<.20).>>.29);.
686a0 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
686c0 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 ield_s3to1_Slot_inst_set.(xtensa
686e0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
68700 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 int32.tie_t;...tie_t.=.(val.<<.2
68720 39 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 9).>>.29;...insn[0].=.(insn[0].&
68740 20 7e 30 78 65 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 39 29 3b 0a 7d 0a 0a 73 74 61 74 .~0xe00).|.(tie_t.<<.9);.}..stat
68760 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 ic.unsigned.Field_op0_Slot_inst1
68780 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6a_get.(const.xtensa_insnbuf.ins
687a0 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 n).{...unsigned.tie_t.=.0;...tie
687c0 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
687e0 20 32 38 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a .28).>>.28);...return.tie_t;.}..
68800 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 static.void.Field_op0_Slot_inst1
68820 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 6a_set.(xtensa_insnbuf.insn,.uin
68840 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 t32.val).{...uint32.tie_t;...tie
68860 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 _t.=.(val.<<.28).>>.28;...insn[0
68880 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c ].=.(insn[0].&.~0xf).|.(tie_t.<<
688a0 20 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 74 5f .0);.}..static.unsigned.Field_t_
688c0 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 Slot_inst16b_get.(const.xtensa_i
688e0 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 nsnbuf.insn).{...unsigned.tie_t.
68900 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 =.0;...tie_t.=.(tie_t.<<.4).|.((
68920 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 insn[0].<<.24).>>.28);...return.
68940 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 5f 53 6c tie_t;.}..static.void.Field_t_Sl
68960 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 ot_inst16b_set.(xtensa_insnbuf.i
68980 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
689a0 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a t;...tie_t.=.(val.<<.28).>>.28;.
689c0 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 ..insn[0].=.(insn[0].&.~0xf0).|.
689e0 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 (tie_t.<<.4);.}..static.unsigned
68a00 0a 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 .Field_r_Slot_inst16b_get.(const
68a20 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
68a40 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
68a60 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a <.4).|.((insn[0].<<.16).>>.28);.
68a80 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
68aa0 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f ield_r_Slot_inst16b_set.(xtensa_
68ac0 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 insnbuf.insn,.uint32.val).{...ui
68ae0 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 nt32.tie_t;...tie_t.=.(val.<<.28
68b00 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 ).>>.28;...insn[0].=.(insn[0].&.
68b20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 ~0xf000).|.(tie_t.<<.12);.}..sta
68b40 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 tic.unsigned.Field_op0_Slot_inst
68b60 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 16b_get.(const.xtensa_insnbuf.in
68b80 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 sn).{...unsigned.tie_t.=.0;...ti
68ba0 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c e_t.=.(tie_t.<<.4).|.((insn[0].<
68bc0 3c 20 32 38 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a <.28).>>.28);...return.tie_t;.}.
68be0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 .static.void.Field_op0_Slot_inst
68c00 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 16b_set.(xtensa_insnbuf.insn,.ui
68c20 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
68c40 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.28).>>.28;...insn[
68c60 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 29 20 7c 20 28 74 69 65 5f 74 20 3c 0].=.(insn[0].&.~0xf).|.(tie_t.<
68c80 3c 20 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 7a <.0);.}..static.unsigned.Field_z
68ca0 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f _Slot_inst16b_get.(const.xtensa_
68cc0 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 insnbuf.insn).{...unsigned.tie_t
68ce0 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 .=.0;...tie_t.=.(tie_t.<<.1).|.(
68d00 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e (insn[0].<<.25).>>.31);...return
68d20 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 7a 5f 53 .tie_t;.}..static.void.Field_z_S
68d40 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst16b_set.(xtensa_insnbuf.
68d60 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 insn,.uint32.val).{...uint32.tie
68d80 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b _t;...tie_t.=.(val.<<.31).>>.31;
68da0 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 34 30 29 20 7c ...insn[0].=.(insn[0].&.~0x40).|
68dc0 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 .(tie_t.<<.6);.}..static.unsigne
68de0 64 0a 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 d.Field_i_Slot_inst16b_get.(cons
68e00 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 t.xtensa_insnbuf.insn).{...unsig
68e20 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 ned.tie_t.=.0;...tie_t.=.(tie_t.
68e40 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 31 29 3b <<.1).|.((insn[0].<<.24).>>.31);
68e60 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a ...return.tie_t;.}..static.void.
68e80 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 Field_i_Slot_inst16b_set.(xtensa
68ea0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
68ec0 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 int32.tie_t;...tie_t.=.(val.<<.3
68ee0 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 1).>>.31;...insn[0].=.(insn[0].&
68f00 20 7e 30 78 38 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 37 29 3b 0a 7d 0a 0a 73 74 61 74 69 .~0x80).|.(tie_t.<<.7);.}..stati
68f20 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f c.unsigned.Field_s_Slot_inst16b_
68f40 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a get.(const.xtensa_insnbuf.insn).
68f60 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 {...unsigned.tie_t.=.0;...tie_t.
68f80 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 =.(tie_t.<<.4).|.((insn[0].<<.20
68fa0 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 ).>>.28);...return.tie_t;.}..sta
68fc0 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 tic.void.Field_s_Slot_inst16b_se
68fe0 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 t.(xtensa_insnbuf.insn,.uint32.v
69000 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 al).{...uint32.tie_t;...tie_t.=.
69020 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 (val.<<.28).>>.28;...insn[0].=.(
69040 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 insn[0].&.~0xf00).|.(tie_t.<<.8)
69060 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 74 5f 53 6c 6f ;.}..static.unsigned.Field_t_Slo
69080 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e t_inst16a_get.(const.xtensa_insn
690a0 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 buf.insn).{...unsigned.tie_t.=.0
690c0 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 ;...tie_t.=.(tie_t.<<.4).|.((ins
690e0 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 n[0].<<.24).>>.28);...return.tie
69100 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f _t;.}..static.void.Field_t_Slot_
69120 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e inst16a_set.(xtensa_insnbuf.insn
69140 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a ,.uint32.val).{...uint32.tie_t;.
69160 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 ..tie_t.=.(val.<<.28).>>.28;...i
69180 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 nsn[0].=.(insn[0].&.~0xf0).|.(ti
691a0 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 e_t.<<.4);.}..static.unsigned.Fi
691c0 65 6c 64 5f 62 62 69 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 eld_bbi4_Slot_inst_get.(const.xt
691e0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 ensa_insnbuf.insn).{...unsigned.
69200 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 tie_t.=.0;...tie_t.=.(tie_t.<<.1
69220 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 39 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 ).|.((insn[0].<<.19).>>.31);...r
69240 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c eturn.tie_t;.}..static.void.Fiel
69260 64 5f 62 62 69 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 d_bbi4_Slot_inst_set.(xtensa_ins
69280 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
692a0 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 2.tie_t;...tie_t.=.(val.<<.31).>
692c0 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.31;...insn[0].=.(insn[0].&.~0x
692e0 31 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 1000).|.(tie_t.<<.12);.}..static
69300 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 62 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .unsigned.Field_bbi_Slot_inst_ge
69320 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a t.(const.xtensa_insnbuf.insn).{.
69340 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 ..unsigned.tie_t.=.0;...tie_t.=.
69360 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 39 29 20 (tie_t.<<.1).|.((insn[0].<<.19).
69380 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c >>.31);...tie_t.=.(tie_t.<<.4).|
693a0 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 .((insn[0].<<.24).>>.28);...retu
693c0 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 62 rn.tie_t;.}..static.void.Field_b
693e0 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 bi_Slot_inst_set.(xtensa_insnbuf
69400 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
69420 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 e_t;...tie_t.=.(val.<<.28).>>.28
69440 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 ;...insn[0].=.(insn[0].&.~0xf0).
69460 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c |.(tie_t.<<.4);...tie_t.=.(val.<
69480 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.27).>>.31;...insn[0].=.(insn[0
694a0 5d 20 26 20 7e 30 78 31 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a ].&.~0x1000).|.(tie_t.<<.12);.}.
694c0 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 32 5f 53 6c 6f .static.unsigned.Field_imm12_Slo
694e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
69500 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
69520 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 20 7c 20 28 28 69 6e 73 6e 5b .tie_t.=.(tie_t.<<.12).|.((insn[
69540 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 32 30 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0].<<.8).>>.20);...return.tie_t;
69560 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 32 5f 53 6c 6f 74 .}..static.void.Field_imm12_Slot
69580 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 _inst_set.(xtensa_insnbuf.insn,.
695a0 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 uint32.val).{...uint32.tie_t;...
695c0 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 30 29 20 3e 3e 20 32 30 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.20).>>.20;...ins
695e0 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 30 30 30 29 20 7c 20 28 n[0].=.(insn[0].&.~0xfff000).|.(
69600 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 tie_t.<<.12);.}..static.unsigned
69620 0a 46 69 65 6c 64 5f 69 6d 6d 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 .Field_imm8_Slot_inst_get.(const
69640 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
69660 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
69680 3c 20 38 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 32 34 29 3b 0a 20 <.8).|.((insn[0].<<.8).>>.24);..
696a0 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 .return.tie_t;.}..static.void.Fi
696c0 65 6c 64 5f 69 6d 6d 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 eld_imm8_Slot_inst_set.(xtensa_i
696e0 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e nsnbuf.insn,.uint32.val).{...uin
69700 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 t32.tie_t;...tie_t.=.(val.<<.24)
69720 20 3e 3e 20 32 34 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e .>>.24;...insn[0].=.(insn[0].&.~
69740 30 78 66 66 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 36 29 3b 0a 7d 0a 0a 73 74 0xff0000).|.(tie_t.<<.16);.}..st
69760 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 atic.unsigned.Field_s_Slot_inst1
69780 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6a_get.(const.xtensa_insnbuf.ins
697a0 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 n).{...unsigned.tie_t.=.0;...tie
697c0 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
697e0 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a .20).>>.28);...return.tie_t;.}..
69800 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 static.void.Field_s_Slot_inst16a
69820 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 _set.(xtensa_insnbuf.insn,.uint3
69840 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 2.val).{...uint32.tie_t;...tie_t
69860 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 .=.(val.<<.28).>>.28;...insn[0].
69880 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c =.(insn[0].&.~0xf00).|.(tie_t.<<
698a0 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d .8);.}..static.unsigned.Field_im
698c0 6d 31 32 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 m12b_Slot_inst_get.(const.xtensa
698e0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f _insnbuf.insn).{...unsigned.tie_
69900 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 t.=.0;...tie_t.=.(tie_t.<<.4).|.
69920 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 ((insn[0].<<.20).>>.28);...tie_t
69940 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 .=.(tie_t.<<.8).|.((insn[0].<<.8
69960 29 20 3e 3e 20 32 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 ).>>.24);...return.tie_t;.}..sta
69980 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 32 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f tic.void.Field_imm12b_Slot_inst_
699a0 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 set.(xtensa_insnbuf.insn,.uint32
699c0 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 .val).{...uint32.tie_t;...tie_t.
699e0 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 34 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d =.(val.<<.24).>>.24;...insn[0].=
69a00 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 .(insn[0].&.~0xff0000).|.(tie_t.
69a20 3c 3c 20 31 36 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 30 29 20 3e 3e <<.16);...tie_t.=.(val.<<.20).>>
69a40 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 .28;...insn[0].=.(insn[0].&.~0xf
69a60 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 00).|.(tie_t.<<.8);.}..static.un
69a80 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 signed.Field_imm16_Slot_inst_get
69aa0 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 .(const.xtensa_insnbuf.insn).{..
69ac0 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 .unsigned.tie_t.=.0;...tie_t.=.(
69ae0 74 69 65 5f 74 20 3c 3c 20 31 36 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 29 20 3e tie_t.<<.16).|.((insn[0].<<.8).>
69b00 3e 20 31 36 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 >.16);...return.tie_t;.}..static
69b20 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 31 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 .void.Field_imm16_Slot_inst_set.
69b40 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c (xtensa_insnbuf.insn,.uint32.val
69b60 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 ).{...uint32.tie_t;...tie_t.=.(v
69b80 61 6c 20 3c 3c 20 31 36 29 20 3e 3e 20 31 36 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e al.<<.16).>>.16;...insn[0].=.(in
69ba0 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 sn[0].&.~0xffff00).|.(tie_t.<<.8
69bc0 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6f 66 66 73 );.}..static.unsigned.Field_offs
69be0 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 et_Slot_inst_get.(const.xtensa_i
69c00 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 nsnbuf.insn).{...unsigned.tie_t.
69c20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 38 29 20 7c 20 28 =.0;...tie_t.=.(tie_t.<<.18).|.(
69c40 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 31 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 (insn[0].<<.8).>>.14);...return.
69c60 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 6f 66 66 73 tie_t;.}..static.void.Field_offs
69c80 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 et_Slot_inst_set.(xtensa_insnbuf
69ca0 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
69cc0 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 31 34 29 20 3e 3e 20 31 34 e_t;...tie_t.=.(val.<<.14).>>.14
69ce0 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 ;...insn[0].=.(insn[0].&.~0xffff
69d00 63 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e c0).|.(tie_t.<<.6);.}..static.un
69d20 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 signed.Field_r_Slot_inst16a_get.
69d40 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 (const.xtensa_insnbuf.insn).{...
69d60 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 unsigned.tie_t.=.0;...tie_t.=.(t
69d80 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e ie_t.<<.4).|.((insn[0].<<.16).>>
69da0 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .28);...return.tie_t;.}..static.
69dc0 76 6f 69 64 0a 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 void.Field_r_Slot_inst16a_set.(x
69de0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a tensa_insnbuf.insn,.uint32.val).
69e00 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c {...uint32.tie_t;...tie_t.=.(val
69e20 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e .<<.28).>>.28;...insn[0].=.(insn
69e40 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a [0].&.~0xf000).|.(tie_t.<<.12);.
69e60 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 34 5f 53 6c 6f }..static.unsigned.Field_sa4_Slo
69e80 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
69ea0 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
69ec0 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 .tie_t.=.(tie_t.<<.1).|.((insn[0
69ee0 5d 20 3c 3c 20 31 31 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b ].<<.11).>>.31);...return.tie_t;
69f00 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 61 34 5f 53 6c 6f 74 5f 69 .}..static.void.Field_sa4_Slot_i
69f20 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 nst_set.(xtensa_insnbuf.insn,.ui
69f40 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
69f60 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.31).>>.31;...insn[
69f80 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 30 30 30 29 20 7c 20 28 74 69 0].=.(insn[0].&.~0x100000).|.(ti
69fa0 65 5f 74 20 3c 3c 20 32 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 e_t.<<.20);.}..static.unsigned.F
69fc0 69 65 6c 64 5f 73 61 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 ield_sae4_Slot_inst_get.(const.x
69fe0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 tensa_insnbuf.insn).{...unsigned
6a000 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 .tie_t.=.0;...tie_t.=.(tie_t.<<.
6a020 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 35 29 20 3e 3e 20 33 31 29 3b 0a 20 20 1).|.((insn[0].<<.15).>>.31);...
6a040 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 return.tie_t;.}..static.void.Fie
6a060 6c 64 5f 73 61 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e ld_sae4_Slot_inst_set.(xtensa_in
6a080 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 snbuf.insn,.uint32.val).{...uint
6a0a0 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 32.tie_t;...tie_t.=.(val.<<.31).
6a0c0 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 >>.31;...insn[0].=.(insn[0].&.~0
6a0e0 78 31 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 36 29 3b 0a 7d 0a 0a 73 74 61 74 x10000).|.(tie_t.<<.16);.}..stat
6a100 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f ic.unsigned.Field_sae_Slot_inst_
6a120 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a get.(const.xtensa_insnbuf.insn).
6a140 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 {...unsigned.tie_t.=.0;...tie_t.
6a160 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 35 =.(tie_t.<<.1).|.((insn[0].<<.15
6a180 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 ).>>.31);...tie_t.=.(tie_t.<<.4)
6a1a0 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 .|.((insn[0].<<.20).>>.28);...re
6a1c0 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 turn.tie_t;.}..static.void.Field
6a1e0 5f 73 61 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 _sae_Slot_inst_set.(xtensa_insnb
6a200 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 uf.insn,.uint32.val).{...uint32.
6a220 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 tie_t;...tie_t.=.(val.<<.28).>>.
6a240 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 28;...insn[0].=.(insn[0].&.~0xf0
6a260 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 0).|.(tie_t.<<.8);...tie_t.=.(va
6a280 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 l.<<.27).>>.31;...insn[0].=.(ins
6a2a0 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 36 29 n[0].&.~0x10000).|.(tie_t.<<.16)
6a2c0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 6c 5f 53 ;.}..static.unsigned.Field_sal_S
6a2e0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 lot_inst_get.(const.xtensa_insnb
6a300 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b uf.insn).{...unsigned.tie_t.=.0;
6a320 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e ...tie_t.=.(tie_t.<<.1).|.((insn
6a340 5b 30 5d 20 3c 3c 20 31 31 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 [0].<<.11).>>.31);...tie_t.=.(ti
6a360 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 e_t.<<.4).|.((insn[0].<<.24).>>.
6a380 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 28);...return.tie_t;.}..static.v
6a3a0 6f 69 64 0a 46 69 65 6c 64 5f 73 61 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 oid.Field_sal_Slot_inst_set.(xte
6a3c0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a nsa_insnbuf.insn,.uint32.val).{.
6a3e0 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c ..uint32.tie_t;...tie_t.=.(val.<
6a400 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.28).>>.28;...insn[0].=.(insn[0
6a420 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 ].&.~0xf0).|.(tie_t.<<.4);...tie
6a440 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 _t.=.(val.<<.27).>>.31;...insn[0
6a460 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 30 30 30 29 20 7c 20 28 74 69 65 ].=.(insn[0].&.~0x100000).|.(tie
6a480 5f 74 20 3c 3c 20 32 30 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 _t.<<.20);.}..static.unsigned.Fi
6a4a0 65 6c 64 5f 73 61 72 67 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 eld_sargt_Slot_inst_get.(const.x
6a4c0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 tensa_insnbuf.insn).{...unsigned
6a4e0 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 .tie_t.=.0;...tie_t.=.(tie_t.<<.
6a500 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 31 29 20 3e 3e 20 33 31 29 3b 0a 20 20 1).|.((insn[0].<<.11).>>.31);...
6a520 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d tie_t.=.(tie_t.<<.4).|.((insn[0]
6a540 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a .<<.20).>>.28);...return.tie_t;.
6a560 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 61 72 67 74 5f 53 6c 6f 74 5f }..static.void.Field_sargt_Slot_
6a580 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 inst_set.(xtensa_insnbuf.insn,.u
6a5a0 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 int32.val).{...uint32.tie_t;...t
6a5c0 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e ie_t.=.(val.<<.28).>>.28;...insn
6a5e0 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f [0].=.(insn[0].&.~0xf00).|.(tie_
6a600 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e t.<<.8);...tie_t.=.(val.<<.27).>
6a620 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.31;...insn[0].=.(insn[0].&.~0x
6a640 31 30 30 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 32 30 29 3b 0a 7d 0a 0a 73 74 61 74 100000).|.(tie_t.<<.20);.}..stat
6a660 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 ic.unsigned.Field_sas4_Slot_inst
6a680 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 _get.(const.xtensa_insnbuf.insn)
6a6a0 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 .{...unsigned.tie_t.=.0;...tie_t
6a6c0 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 .=.(tie_t.<<.1).|.((insn[0].<<.2
6a6e0 37 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 7).>>.31);...return.tie_t;.}..st
6a700 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 61 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 atic.void.Field_sas4_Slot_inst_s
6a720 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 et.(xtensa_insnbuf.insn,.uint32.
6a740 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d val).{...uint32.tie_t;...tie_t.=
6a760 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 .(val.<<.31).>>.31;...insn[0].=.
6a780 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 (insn[0].&.~0x10).|.(tie_t.<<.4)
6a7a0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 61 73 5f 53 ;.}..static.unsigned.Field_sas_S
6a7c0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 lot_inst_get.(const.xtensa_insnb
6a7e0 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b uf.insn).{...unsigned.tie_t.=.0;
6a800 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e ...tie_t.=.(tie_t.<<.1).|.((insn
6a820 5b 30 5d 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 [0].<<.27).>>.31);...tie_t.=.(ti
6a840 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 e_t.<<.4).|.((insn[0].<<.20).>>.
6a860 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 28);...return.tie_t;.}..static.v
6a880 6f 69 64 0a 46 69 65 6c 64 5f 73 61 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 oid.Field_sas_Slot_inst_set.(xte
6a8a0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a nsa_insnbuf.insn,.uint32.val).{.
6a8c0 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c ..uint32.tie_t;...tie_t.=.(val.<
6a8e0 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.28).>>.28;...insn[0].=.(insn[0
6a900 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 ].&.~0xf00).|.(tie_t.<<.8);...ti
6a920 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.27).>>.31;...insn[
6a940 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 29 20 7c 20 28 74 69 65 5f 74 20 0].=.(insn[0].&.~0x10).|.(tie_t.
6a960 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f <<.4);.}..static.unsigned.Field_
6a980 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 sr_Slot_inst16a_get.(const.xtens
6a9a0 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 a_insnbuf.insn).{...unsigned.tie
6a9c0 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c _t.=.0;...tie_t.=.(tie_t.<<.4).|
6a9e0 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f .((insn[0].<<.16).>>.28);...tie_
6aa00 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 t.=.(tie_t.<<.4).|.((insn[0].<<.
6aa20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 20).>>.28);...return.tie_t;.}..s
6aa40 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 tatic.void.Field_sr_Slot_inst16a
6aa60 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 _set.(xtensa_insnbuf.insn,.uint3
6aa80 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 2.val).{...uint32.tie_t;...tie_t
6aaa0 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 .=.(val.<<.28).>>.28;...insn[0].
6aac0 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c =.(insn[0].&.~0xf00).|.(tie_t.<<
6aae0 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 .8);...tie_t.=.(val.<<.24).>>.28
6ab00 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 ;...insn[0].=.(insn[0].&.~0xf000
6ab20 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 ).|.(tie_t.<<.12);.}..static.uns
6ab40 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 igned.Field_sr_Slot_inst16b_get.
6ab60 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 (const.xtensa_insnbuf.insn).{...
6ab80 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 unsigned.tie_t.=.0;...tie_t.=.(t
6aba0 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e ie_t.<<.4).|.((insn[0].<<.16).>>
6abc0 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 .28);...tie_t.=.(tie_t.<<.4).|.(
6abe0 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e (insn[0].<<.20).>>.28);...return
6ac00 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 72 5f .tie_t;.}..static.void.Field_sr_
6ac20 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst16b_set.(xtensa_insnbuf
6ac40 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
6ac60 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 e_t;...tie_t.=.(val.<<.28).>>.28
6ac80 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 ;...insn[0].=.(insn[0].&.~0xf00)
6aca0 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 .|.(tie_t.<<.8);...tie_t.=.(val.
6acc0 3c 3c 20 32 34 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b <<.24).>>.28;...insn[0].=.(insn[
6ace0 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0].&.~0xf000).|.(tie_t.<<.12);.}
6ad00 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f ..static.unsigned.Field_st_Slot_
6ad20 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 inst16a_get.(const.xtensa_insnbu
6ad40 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a f.insn).{...unsigned.tie_t.=.0;.
6ad60 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b ..tie_t.=.(tie_t.<<.4).|.((insn[
6ad80 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 0].<<.20).>>.28);...tie_t.=.(tie
6ada0 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 _t.<<.4).|.((insn[0].<<.24).>>.2
6adc0 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 8);...return.tie_t;.}..static.vo
6ade0 69 64 0a 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 id.Field_st_Slot_inst16a_set.(xt
6ae00 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b ensa_insnbuf.insn,.uint32.val).{
6ae20 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 ...uint32.tie_t;...tie_t.=.(val.
6ae40 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b <<.28).>>.28;...insn[0].=.(insn[
6ae60 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 0].&.~0xf0).|.(tie_t.<<.4);...ti
6ae80 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.24).>>.28;...insn[
6aea0 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 20 7c 20 28 74 69 65 5f 74 0].=.(insn[0].&.~0xf00).|.(tie_t
6aec0 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 .<<.8);.}..static.unsigned.Field
6aee0 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e _st_Slot_inst16b_get.(const.xten
6af00 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 sa_insnbuf.insn).{...unsigned.ti
6af20 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 e_t.=.0;...tie_t.=.(tie_t.<<.4).
6af40 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 38 29 3b 0a 20 20 74 69 65 |.((insn[0].<<.20).>>.28);...tie
6af60 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
6af80 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a .24).>>.28);...return.tie_t;.}..
6afa0 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 static.void.Field_st_Slot_inst16
6afc0 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 b_set.(xtensa_insnbuf.insn,.uint
6afe0 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 32.val).{...uint32.tie_t;...tie_
6b000 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d t.=.(val.<<.28).>>.28;...insn[0]
6b020 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c .=.(insn[0].&.~0xf0).|.(tie_t.<<
6b040 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 .4);...tie_t.=.(val.<<.24).>>.28
6b060 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 29 ;...insn[0].=.(insn[0].&.~0xf00)
6b080 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .|.(tie_t.<<.8);.}..static.unsig
6b0a0 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f ned.Field_imm4_Slot_inst_get.(co
6b0c0 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 nst.xtensa_insnbuf.insn).{...uns
6b0e0 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f igned.tie_t.=.0;...tie_t.=.(tie_
6b100 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 t.<<.4).|.((insn[0].<<.16).>>.28
6b120 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 );...return.tie_t;.}..static.voi
6b140 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e d.Field_imm4_Slot_inst_set.(xten
6b160 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 sa_insnbuf.insn,.uint32.val).{..
6b180 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c .uint32.tie_t;...tie_t.=.(val.<<
6b1a0 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d .28).>>.28;...insn[0].=.(insn[0]
6b1c0 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a .&.~0xf000).|.(tie_t.<<.12);.}..
6b1e0 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f static.unsigned.Field_imm4_Slot_
6b200 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 inst16a_get.(const.xtensa_insnbu
6b220 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a f.insn).{...unsigned.tie_t.=.0;.
6b240 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b ..tie_t.=.(tie_t.<<.4).|.((insn[
6b260 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 0].<<.16).>>.28);...return.tie_t
6b280 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 ;.}..static.void.Field_imm4_Slot
6b2a0 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 _inst16a_set.(xtensa_insnbuf.ins
6b2c0 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b n,.uint32.val).{...uint32.tie_t;
6b2e0 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 ...tie_t.=.(val.<<.28).>>.28;...
6b300 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 insn[0].=.(insn[0].&.~0xf000).|.
6b320 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 (tie_t.<<.12);.}..static.unsigne
6b340 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 d.Field_imm4_Slot_inst16b_get.(c
6b360 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e onst.xtensa_insnbuf.insn).{...un
6b380 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 signed.tie_t.=.0;...tie_t.=.(tie
6b3a0 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 _t.<<.4).|.((insn[0].<<.16).>>.2
6b3c0 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 8);...return.tie_t;.}..static.vo
6b3e0 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 id.Field_imm4_Slot_inst16b_set.(
6b400 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 xtensa_insnbuf.insn,.uint32.val)
6b420 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 .{...uint32.tie_t;...tie_t.=.(va
6b440 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 l.<<.28).>>.28;...insn[0].=.(ins
6b460 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b n[0].&.~0xf000).|.(tie_t.<<.12);
6b480 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 6d 6e 5f 53 6c 6f .}..static.unsigned.Field_mn_Slo
6b4a0 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
6b4c0 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
6b4e0 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 .tie_t.=.(tie_t.<<.2).|.((insn[0
6b500 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 30 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f ].<<.24).>>.30);...tie_t.=.(tie_
6b520 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 t.<<.2).|.((insn[0].<<.26).>>.30
6b540 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 );...return.tie_t;.}..static.voi
6b560 64 0a 46 69 65 6c 64 5f 6d 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 d.Field_mn_Slot_inst_set.(xtensa
6b580 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
6b5a0 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 int32.tie_t;...tie_t.=.(val.<<.3
6b5c0 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 0).>>.30;...insn[0].=.(insn[0].&
6b5e0 20 7e 30 78 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 .~0x30).|.(tie_t.<<.4);...tie_t.
6b600 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d =.(val.<<.28).>>.30;...insn[0].=
6b620 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 63 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 .(insn[0].&.~0xc0).|.(tie_t.<<.6
6b640 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 5f 53 6c );.}..static.unsigned.Field_i_Sl
6b660 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 ot_inst16a_get.(const.xtensa_ins
6b680 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 nbuf.insn).{...unsigned.tie_t.=.
6b6a0 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 0;...tie_t.=.(tie_t.<<.1).|.((in
6b6c0 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 sn[0].<<.24).>>.31);...return.ti
6b6e0 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 e_t;.}..static.void.Field_i_Slot
6b700 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 _inst16a_set.(xtensa_insnbuf.ins
6b720 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b n,.uint32.val).{...uint32.tie_t;
6b740 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 ...tie_t.=.(val.<<.31).>>.31;...
6b760 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 38 30 29 20 7c 20 28 74 insn[0].=.(insn[0].&.~0x80).|.(t
6b780 69 65 5f 74 20 3c 3c 20 37 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 ie_t.<<.7);.}..static.unsigned.F
6b7a0 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f ield_imm6lo_Slot_inst16a_get.(co
6b7c0 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 nst.xtensa_insnbuf.insn).{...uns
6b7e0 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f igned.tie_t.=.0;...tie_t.=.(tie_
6b800 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 t.<<.4).|.((insn[0].<<.16).>>.28
6b820 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 );...return.tie_t;.}..static.voi
6b840 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 d.Field_imm6lo_Slot_inst16a_set.
6b860 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c (xtensa_insnbuf.insn,.uint32.val
6b880 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 ).{...uint32.tie_t;...tie_t.=.(v
6b8a0 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e al.<<.28).>>.28;...insn[0].=.(in
6b8c0 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 sn[0].&.~0xf000).|.(tie_t.<<.12)
6b8e0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 6c ;.}..static.unsigned.Field_imm6l
6b900 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 o_Slot_inst16b_get.(const.xtensa
6b920 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f _insnbuf.insn).{...unsigned.tie_
6b940 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 t.=.0;...tie_t.=.(tie_t.<<.4).|.
6b960 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 ((insn[0].<<.16).>>.28);...retur
6b980 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d n.tie_t;.}..static.void.Field_im
6b9a0 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e m6lo_Slot_inst16b_set.(xtensa_in
6b9c0 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 snbuf.insn,.uint32.val).{...uint
6b9e0 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 32.tie_t;...tie_t.=.(val.<<.28).
6ba00 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 >>.28;...insn[0].=.(insn[0].&.~0
6ba20 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 xf000).|.(tie_t.<<.12);.}..stati
6ba40 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 c.unsigned.Field_imm6hi_Slot_ins
6ba60 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 t16a_get.(const.xtensa_insnbuf.i
6ba80 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 nsn).{...unsigned.tie_t.=.0;...t
6baa0 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 ie_t.=.(tie_t.<<.2).|.((insn[0].
6bac0 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d <<.26).>>.30);...return.tie_t;.}
6bae0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f ..static.void.Field_imm6hi_Slot_
6bb00 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e inst16a_set.(xtensa_insnbuf.insn
6bb20 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a ,.uint32.val).{...uint32.tie_t;.
6bb40 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 ..tie_t.=.(val.<<.30).>>.30;...i
6bb60 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 33 30 29 20 7c 20 28 74 69 nsn[0].=.(insn[0].&.~0x30).|.(ti
6bb80 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 e_t.<<.4);.}..static.unsigned.Fi
6bba0 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e eld_imm6hi_Slot_inst16b_get.(con
6bbc0 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 st.xtensa_insnbuf.insn).{...unsi
6bbe0 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 gned.tie_t.=.0;...tie_t.=.(tie_t
6bc00 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 .<<.2).|.((insn[0].<<.26).>>.30)
6bc20 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 ;...return.tie_t;.}..static.void
6bc40 0a 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 .Field_imm6hi_Slot_inst16b_set.(
6bc60 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 xtensa_insnbuf.insn,.uint32.val)
6bc80 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 .{...uint32.tie_t;...tie_t.=.(va
6bca0 6c 20 3c 3c 20 33 30 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 l.<<.30).>>.30;...insn[0].=.(ins
6bcc0 6e 5b 30 5d 20 26 20 7e 30 78 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a n[0].&.~0x30).|.(tie_t.<<.4);.}.
6bce0 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c .static.unsigned.Field_imm7lo_Sl
6bd00 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 ot_inst16a_get.(const.xtensa_ins
6bd20 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 nbuf.insn).{...unsigned.tie_t.=.
6bd40 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 0;...tie_t.=.(tie_t.<<.4).|.((in
6bd60 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 sn[0].<<.16).>>.28);...return.ti
6bd80 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f e_t;.}..static.void.Field_imm7lo
6bda0 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst16a_set.(xtensa_insnbu
6bdc0 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 f.insn,.uint32.val).{...uint32.t
6bde0 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 ie_t;...tie_t.=.(val.<<.28).>>.2
6be00 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 8;...insn[0].=.(insn[0].&.~0xf00
6be20 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 0).|.(tie_t.<<.12);.}..static.un
6be40 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 signed.Field_imm7lo_Slot_inst16b
6be60 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 _get.(const.xtensa_insnbuf.insn)
6be80 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 .{...unsigned.tie_t.=.0;...tie_t
6bea0 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 .=.(tie_t.<<.4).|.((insn[0].<<.1
6bec0 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 6).>>.28);...return.tie_t;.}..st
6bee0 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 atic.void.Field_imm7lo_Slot_inst
6bf00 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 16b_set.(xtensa_insnbuf.insn,.ui
6bf20 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
6bf40 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.28).>>.28;...insn[
6bf60 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 0].=.(insn[0].&.~0xf000).|.(tie_
6bf80 74 20 3c 3c 20 31 32 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 t.<<.12);.}..static.unsigned.Fie
6bfa0 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 ld_imm7hi_Slot_inst16a_get.(cons
6bfc0 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 t.xtensa_insnbuf.insn).{...unsig
6bfe0 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 ned.tie_t.=.0;...tie_t.=.(tie_t.
6c000 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 32 39 29 3b <<.3).|.((insn[0].<<.25).>>.29);
6c020 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a ...return.tie_t;.}..static.void.
6c040 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 Field_imm7hi_Slot_inst16a_set.(x
6c060 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a tensa_insnbuf.insn,.uint32.val).
6c080 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c {...uint32.tie_t;...tie_t.=.(val
6c0a0 20 3c 3c 20 32 39 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e .<<.29).>>.29;...insn[0].=.(insn
6c0c0 5b 30 5d 20 26 20 7e 30 78 37 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a [0].&.~0x70).|.(tie_t.<<.4);.}..
6c0e0 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f static.unsigned.Field_imm7hi_Slo
6c100 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e t_inst16b_get.(const.xtensa_insn
6c120 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 buf.insn).{...unsigned.tie_t.=.0
6c140 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 ;...tie_t.=.(tie_t.<<.3).|.((ins
6c160 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 32 39 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 n[0].<<.25).>>.29);...return.tie
6c180 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f _t;.}..static.void.Field_imm7hi_
6c1a0 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst16b_set.(xtensa_insnbuf
6c1c0 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
6c1e0 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 39 29 20 3e 3e 20 32 39 e_t;...tie_t.=.(val.<<.29).>>.29
6c200 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 37 30 29 20 ;...insn[0].=.(insn[0].&.~0x70).
6c220 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e |.(tie_t.<<.4);.}..static.unsign
6c240 65 64 0a 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e ed.Field_z_Slot_inst16a_get.(con
6c260 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 st.xtensa_insnbuf.insn).{...unsi
6c280 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 gned.tie_t.=.0;...tie_t.=.(tie_t
6c2a0 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 33 31 29 .<<.1).|.((insn[0].<<.25).>>.31)
6c2c0 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 ;...return.tie_t;.}..static.void
6c2e0 0a 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 .Field_z_Slot_inst16a_set.(xtens
6c300 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 a_insnbuf.insn,.uint32.val).{...
6c320 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 uint32.tie_t;...tie_t.=.(val.<<.
6c340 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 31).>>.31;...insn[0].=.(insn[0].
6c360 26 20 7e 30 78 34 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 &.~0x40).|.(tie_t.<<.6);.}..stat
6c380 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 ic.unsigned.Field_imm6_Slot_inst
6c3a0 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 16a_get.(const.xtensa_insnbuf.in
6c3c0 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 sn).{...unsigned.tie_t.=.0;...ti
6c3e0 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c e_t.=.(tie_t.<<.2).|.((insn[0].<
6c400 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c <.26).>>.30);...tie_t.=.(tie_t.<
6c420 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a <.4).|.((insn[0].<<.16).>>.28);.
6c440 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
6c460 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e ield_imm6_Slot_inst16a_set.(xten
6c480 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 sa_insnbuf.insn,.uint32.val).{..
6c4a0 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c .uint32.tie_t;...tie_t.=.(val.<<
6c4c0 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d .28).>>.28;...insn[0].=.(insn[0]
6c4e0 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 20 20 74 .&.~0xf000).|.(tie_t.<<.12);...t
6c500 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e ie_t.=.(val.<<.26).>>.30;...insn
6c520 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 33 30 29 20 7c 20 28 74 69 65 5f 74 [0].=.(insn[0].&.~0x30).|.(tie_t
6c540 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 .<<.4);.}..static.unsigned.Field
6c560 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 _imm6_Slot_inst16b_get.(const.xt
6c580 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 ensa_insnbuf.insn).{...unsigned.
6c5a0 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 32 tie_t.=.0;...tie_t.=.(tie_t.<<.2
6c5c0 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 36 29 20 3e 3e 20 33 30 29 3b 0a 20 20 74 ).|.((insn[0].<<.26).>>.30);...t
6c5e0 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 ie_t.=.(tie_t.<<.4).|.((insn[0].
6c600 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d <<.16).>>.28);...return.tie_t;.}
6c620 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e ..static.void.Field_imm6_Slot_in
6c640 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 st16b_set.(xtensa_insnbuf.insn,.
6c660 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 uint32.val).{...uint32.tie_t;...
6c680 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.28).>>.28;...ins
6c6a0 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 n[0].=.(insn[0].&.~0xf000).|.(ti
6c6c0 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 36 e_t.<<.12);...tie_t.=.(val.<<.26
6c6e0 29 20 3e 3e 20 33 30 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 ).>>.30;...insn[0].=.(insn[0].&.
6c700 7e 30 78 33 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 ~0x30).|.(tie_t.<<.4);.}..static
6c720 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 .unsigned.Field_imm7_Slot_inst16
6c740 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e a_get.(const.xtensa_insnbuf.insn
6c760 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f ).{...unsigned.tie_t.=.0;...tie_
6c780 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 t.=.(tie_t.<<.3).|.((insn[0].<<.
6c7a0 32 35 29 20 3e 3e 20 32 39 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 25).>>.29);...tie_t.=.(tie_t.<<.
6c7c0 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 4).|.((insn[0].<<.16).>>.28);...
6c7e0 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 return.tie_t;.}..static.void.Fie
6c800 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 ld_imm7_Slot_inst16a_set.(xtensa
6c820 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 _insnbuf.insn,.uint32.val).{...u
6c840 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 int32.tie_t;...tie_t.=.(val.<<.2
6c860 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 8).>>.28;...insn[0].=.(insn[0].&
6c880 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 32 29 3b 0a 20 20 74 69 65 .~0xf000).|.(tie_t.<<.12);...tie
6c8a0 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 35 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 _t.=.(val.<<.25).>>.29;...insn[0
6c8c0 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 37 30 29 20 7c 20 28 74 69 65 5f 74 20 3c ].=.(insn[0].&.~0x70).|.(tie_t.<
6c8e0 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 69 <.4);.}..static.unsigned.Field_i
6c900 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e mm7_Slot_inst16b_get.(const.xten
6c920 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 sa_insnbuf.insn).{...unsigned.ti
6c940 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 e_t.=.0;...tie_t.=.(tie_t.<<.3).
6c960 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 32 39 29 3b 0a 20 20 74 69 65 |.((insn[0].<<.25).>>.29);...tie
6c980 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c _t.=.(tie_t.<<.4).|.((insn[0].<<
6c9a0 20 31 36 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a .16).>>.28);...return.tie_t;.}..
6c9c0 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 static.void.Field_imm7_Slot_inst
6c9e0 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 16b_set.(xtensa_insnbuf.insn,.ui
6ca00 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 nt32.val).{...uint32.tie_t;...ti
6ca20 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b e_t.=.(val.<<.28).>>.28;...insn[
6ca40 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 30 30 29 20 7c 20 28 74 69 65 5f 0].=.(insn[0].&.~0xf000).|.(tie_
6ca60 74 20 3c 3c 20 31 32 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 35 29 20 t.<<.12);...tie_t.=.(val.<<.25).
6ca80 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 >>.29;...insn[0].=.(insn[0].&.~0
6caa0 78 37 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 x70).|.(tie_t.<<.4);.}..static.u
6cac0 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 72 62 69 74 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 nsigned.Field_rbit2_Slot_inst_ge
6cae0 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a t.(const.xtensa_insnbuf.insn).{.
6cb00 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 ..unsigned.tie_t.=.0;...tie_t.=.
6cb20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 37 29 20 (tie_t.<<.1).|.((insn[0].<<.17).
6cb40 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 >>.31);...return.tie_t;.}..stati
6cb60 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 72 62 69 74 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 c.void.Field_rbit2_Slot_inst_set
6cb80 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 .(xtensa_insnbuf.insn,.uint32.va
6cba0 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 l).{...uint32.tie_t;...tie_t.=.(
6cbc0 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 val.<<.31).>>.31;...insn[0].=.(i
6cbe0 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 34 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 34 nsn[0].&.~0x4000).|.(tie_t.<<.14
6cc00 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 74 62 69 74 );.}..static.unsigned.Field_tbit
6cc20 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 2_Slot_inst_get.(const.xtensa_in
6cc40 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d snbuf.insn).{...unsigned.tie_t.=
6cc60 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 .0;...tie_t.=.(tie_t.<<.1).|.((i
6cc80 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 nsn[0].<<.25).>>.31);...return.t
6cca0 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 74 62 69 74 32 ie_t;.}..static.void.Field_tbit2
6ccc0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 _Slot_inst_set.(xtensa_insnbuf.i
6cce0 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f nsn,.uint32.val).{...uint32.tie_
6cd00 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 31 3b 0a t;...tie_t.=.(val.<<.31).>>.31;.
6cd20 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 34 30 29 20 7c 20 ..insn[0].=.(insn[0].&.~0x40).|.
6cd40 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 (tie_t.<<.6);.}..static.unsigned
6cd60 0a 46 69 65 6c 64 5f 79 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 .Field_y_Slot_inst_get.(const.xt
6cd80 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 ensa_insnbuf.insn).{...unsigned.
6cda0 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 tie_t.=.0;...tie_t.=.(tie_t.<<.1
6cdc0 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 35 29 20 3e 3e 20 33 31 29 3b 0a 20 20 72 ).|.((insn[0].<<.25).>>.31);...r
6cde0 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c eturn.tie_t;.}..static.void.Fiel
6ce00 64 5f 79 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 d_y_Slot_inst_set.(xtensa_insnbu
6ce20 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 f.insn,.uint32.val).{...uint32.t
6ce40 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 3e 20 33 ie_t;...tie_t.=.(val.<<.31).>>.3
6ce60 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 34 30 29 1;...insn[0].=.(insn[0].&.~0x40)
6ce80 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .|.(tie_t.<<.6);.}..static.unsig
6cea0 6e 65 64 0a 46 69 65 6c 64 5f 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 ned.Field_x_Slot_inst_get.(const
6cec0 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
6cee0 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
6cf00 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 31 37 29 20 3e 3e 20 33 31 29 3b 0a <.1).|.((insn[0].<<.17).>>.31);.
6cf20 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
6cf40 69 65 6c 64 5f 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 ield_x_Slot_inst_set.(xtensa_ins
6cf60 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
6cf80 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 33 31 29 20 3e 2.tie_t;...tie_t.=.(val.<<.31).>
6cfa0 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.31;...insn[0].=.(insn[0].&.~0x
6cfc0 34 30 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 31 34 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 4000).|.(tie_t.<<.14);.}..static
6cfe0 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 35 5f 69 6d 6d 5f 53 6c 6f .unsigned.Field_xt_wbr15_imm_Slo
6d000 74 5f 69 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 t_inst_get.(const.xtensa_insnbuf
6d020 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 .insn).{...unsigned.tie_t.=.0;..
6d040 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 35 29 20 7c 20 28 28 69 6e 73 6e 5b .tie_t.=.(tie_t.<<.15).|.((insn[
6d060 30 5d 20 3c 3c 20 38 29 20 3e 3e 20 31 37 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0].<<.8).>>.17);...return.tie_t;
6d080 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 35 5f 69 .}..static.void.Field_xt_wbr15_i
6d0a0 6d 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 mm_Slot_inst_set.(xtensa_insnbuf
6d0c0 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 .insn,.uint32.val).{...uint32.ti
6d0e0 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 31 37 29 20 3e 3e 20 31 37 e_t;...tie_t.=.(val.<<.17).>>.17
6d100 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 65 ;...insn[0].=.(insn[0].&.~0xfffe
6d120 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 39 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 00).|.(tie_t.<<.9);.}..static.un
6d140 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 38 5f 69 6d 6d 5f 53 6c 6f 74 5f 69 signed.Field_xt_wbr18_imm_Slot_i
6d160 6e 73 74 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e nst_get.(const.xtensa_insnbuf.in
6d180 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 sn).{...unsigned.tie_t.=.0;...ti
6d1a0 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 38 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 e_t.=.(tie_t.<<.18).|.((insn[0].
6d1c0 3c 3c 20 38 29 20 3e 3e 20 31 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a <<.8).>>.14);...return.tie_t;.}.
6d1e0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 38 5f 69 6d 6d 5f .static.void.Field_xt_wbr18_imm_
6d200 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e Slot_inst_set.(xtensa_insnbuf.in
6d220 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 sn,.uint32.val).{...uint32.tie_t
6d240 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 31 34 29 20 3e 3e 20 31 34 3b 0a 20 ;...tie_t.=.(val.<<.14).>>.14;..
6d260 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 63 30 29 .insn[0].=.(insn[0].&.~0xffffc0)
6d280 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 36 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .|.(tie_t.<<.6);.}..static.unsig
6d2a0 6e 65 64 0a 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ned.Field_bitindex_Slot_inst_get
6d2c0 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 .(const.xtensa_insnbuf.insn).{..
6d2e0 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 .unsigned.tie_t.=.0;...tie_t.=.(
6d300 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 33 29 20 3e tie_t.<<.1).|.((insn[0].<<.23).>
6d320 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 >.31);...tie_t.=.(tie_t.<<.4).|.
6d340 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 ((insn[0].<<.24).>>.28);...retur
6d360 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 62 69 n.tie_t;.}..static.void.Field_bi
6d380 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 tindex_Slot_inst_set.(xtensa_ins
6d3a0 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 nbuf.insn,.uint32.val).{...uint3
6d3c0 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 2.tie_t;...tie_t.=.(val.<<.28).>
6d3e0 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.28;...insn[0].=.(insn[0].&.~0x
6d400 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 f0).|.(tie_t.<<.4);...tie_t.=.(v
6d420 61 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e al.<<.27).>>.31;...insn[0].=.(in
6d440 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a sn[0].&.~0x100).|.(tie_t.<<.8);.
6d460 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 }..static.unsigned.Field_bitinde
6d480 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 x_Slot_inst16a_get.(const.xtensa
6d4a0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f _insnbuf.insn).{...unsigned.tie_
6d4c0 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 t.=.0;...tie_t.=.(tie_t.<<.1).|.
6d4e0 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 33 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 ((insn[0].<<.23).>>.31);...tie_t
6d500 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 .=.(tie_t.<<.4).|.((insn[0].<<.2
6d520 34 29 20 3e 3e 20 32 38 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 4).>>.28);...return.tie_t;.}..st
6d540 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e atic.void.Field_bitindex_Slot_in
6d560 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 st16a_set.(xtensa_insnbuf.insn,.
6d580 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 uint32.val).{...uint32.tie_t;...
6d5a0 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.28).>>.28;...ins
6d5c0 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f n[0].=.(insn[0].&.~0xf0).|.(tie_
6d5e0 74 20 3c 3c 20 34 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e t.<<.4);...tie_t.=.(val.<<.27).>
6d600 3e 20 33 31 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 >.31;...insn[0].=.(insn[0].&.~0x
6d620 31 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 100).|.(tie_t.<<.8);.}..static.u
6d640 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 nsigned.Field_bitindex_Slot_inst
6d660 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 16b_get.(const.xtensa_insnbuf.in
6d680 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 sn).{...unsigned.tie_t.=.0;...ti
6d6a0 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 31 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c e_t.=.(tie_t.<<.1).|.((insn[0].<
6d6c0 3c 20 32 33 29 20 3e 3e 20 33 31 29 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c <.23).>>.31);...tie_t.=.(tie_t.<
6d6e0 3c 20 34 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 34 29 20 3e 3e 20 32 38 29 3b 0a <.4).|.((insn[0].<<.24).>>.28);.
6d700 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
6d720 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 ield_bitindex_Slot_inst16b_set.(
6d740 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 xtensa_insnbuf.insn,.uint32.val)
6d760 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 .{...uint32.tie_t;...tie_t.=.(va
6d780 6c 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 l.<<.28).>>.28;...insn[0].=.(ins
6d7a0 6e 5b 30 5d 20 26 20 7e 30 78 66 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 34 29 3b 0a 20 20 n[0].&.~0xf0).|.(tie_t.<<.4);...
6d7c0 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 37 29 20 3e 3e 20 33 31 3b 0a 20 20 69 6e 73 tie_t.=.(val.<<.27).>>.31;...ins
6d7e0 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 31 30 30 29 20 7c 20 28 74 69 65 n[0].=.(insn[0].&.~0x100).|.(tie
6d800 5f 74 20 3c 3c 20 38 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 _t.<<.8);.}..static.unsigned.Fie
6d820 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 63 6f 6e 73 74 ld_s3to1_Slot_inst16a_get.(const
6d840 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e .xtensa_insnbuf.insn).{...unsign
6d860 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c ed.tie_t.=.0;...tie_t.=.(tie_t.<
6d880 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 39 29 3b 0a <.3).|.((insn[0].<<.20).>>.29);.
6d8a0 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 ..return.tie_t;.}..static.void.F
6d8c0 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 20 28 78 74 65 ield_s3to1_Slot_inst16a_set.(xte
6d8e0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a nsa_insnbuf.insn,.uint32.val).{.
6d900 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c ..uint32.tie_t;...tie_t.=.(val.<
6d920 3c 20 32 39 29 20 3e 3e 20 32 39 3b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 <.29).>>.29;...insn[0].=.(insn[0
6d940 5d 20 26 20 7e 30 78 65 30 30 29 20 7c 20 28 74 69 65 5f 74 20 3c 3c 20 39 29 3b 0a 7d 0a 0a 73 ].&.~0xe00).|.(tie_t.<<.9);.}..s
6d960 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f tatic.unsigned.Field_s3to1_Slot_
6d980 69 6e 73 74 31 36 62 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 inst16b_get.(const.xtensa_insnbu
6d9a0 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 69 65 5f 74 20 3d 20 30 3b 0a f.insn).{...unsigned.tie_t.=.0;.
6d9c0 20 20 74 69 65 5f 74 20 3d 20 28 74 69 65 5f 74 20 3c 3c 20 33 29 20 7c 20 28 28 69 6e 73 6e 5b ..tie_t.=.(tie_t.<<.3).|.((insn[
6d9e0 30 5d 20 3c 3c 20 32 30 29 20 3e 3e 20 32 39 29 3b 0a 20 20 72 65 74 75 72 6e 20 74 69 65 5f 74 0].<<.20).>>.29);...return.tie_t
6da00 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f ;.}..static.void.Field_s3to1_Slo
6da20 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e t_inst16b_set.(xtensa_insnbuf.in
6da40 73 6e 2c 20 75 69 6e 74 33 32 20 76 61 6c 29 0a 7b 0a 20 20 75 69 6e 74 33 32 20 74 69 65 5f 74 sn,.uint32.val).{...uint32.tie_t
6da60 3b 0a 20 20 74 69 65 5f 74 20 3d 20 28 76 61 6c 20 3c 3c 20 32 39 29 20 3e 3e 20 32 39 3b 0a 20 ;...tie_t.=.(val.<<.29).>>.29;..
6da80 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 65 30 30 29 20 7c 20 .insn[0].=.(insn[0].&.~0xe00).|.
6daa0 28 74 69 65 5f 74 20 3c 3c 20 39 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 49 6d 70 (tie_t.<<.9);.}..static.void.Imp
6dac0 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 licit_Field_set.(xtensa_insnbuf.
6dae0 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 2c 0a 09 09 20 20 20 20 75 69 6e insn.ATTRIBUTE_UNUSED,.......uin
6db00 74 33 32 20 76 61 6c 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 2f 2a t32.val.ATTRIBUTE_UNUSED).{.../*
6db20 20 44 6f 20 6e 6f 74 68 69 6e 67 2e 20 20 2a 2f 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 .Do.nothing...*/.}..static.unsig
6db40 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 30 5f 67 65 74 20 28 63 6f 6e 73 ned.Implicit_Field_ar0_get.(cons
6db60 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f t.xtensa_insnbuf.insn.ATTRIBUTE_
6db80 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 UNUSED).{...return.0;.}..static.
6dba0 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 34 5f 67 65 74 20 unsigned.Implicit_Field_ar4_get.
6dbc0 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 (const.xtensa_insnbuf.insn.ATTRI
6dbe0 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 34 3b 0a 7d 0a 0a 73 74 BUTE_UNUSED).{...return.4;.}..st
6dc00 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 38 atic.unsigned.Implicit_Field_ar8
6dc20 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 _get.(const.xtensa_insnbuf.insn.
6dc40 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 38 3b 0a ATTRIBUTE_UNUSED).{...return.8;.
6dc60 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c }..static.unsigned.Implicit_Fiel
6dc80 64 5f 61 72 31 32 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 d_ar12_get.(const.xtensa_insnbuf
6dca0 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 .insn.ATTRIBUTE_UNUSED).{...retu
6dcc0 72 6e 20 31 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 rn.12;.}..static.unsigned.Implic
6dce0 69 74 5f 46 69 65 6c 64 5f 6d 72 30 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 it_Field_mr0_get.(const.xtensa_i
6dd00 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a nsnbuf.insn.ATTRIBUTE_UNUSED).{.
6dd20 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 6e 65 64 0a 49 ..return.0;.}..static.unsigned.I
6dd40 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 31 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 mplicit_Field_mr1_get.(const.xte
6dd60 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 nsa_insnbuf.insn.ATTRIBUTE_UNUSE
6dd80 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 31 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 75 6e 73 69 67 D).{...return.1;.}..static.unsig
6dda0 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 32 5f 67 65 74 20 28 63 6f 6e 73 ned.Implicit_Field_mr2_get.(cons
6ddc0 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 42 55 54 45 5f t.xtensa_insnbuf.insn.ATTRIBUTE_
6dde0 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 UNUSED).{...return.2;.}..static.
6de00 75 6e 73 69 67 6e 65 64 0a 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 33 5f 67 65 74 20 unsigned.Implicit_Field_mr3_get.
6de20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 20 41 54 54 52 49 (const.xtensa_insnbuf.insn.ATTRI
6de40 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 33 3b 0a 7d 0a 0a 65 6e BUTE_UNUSED).{...return.3;.}..en
6de60 75 6d 20 78 74 65 6e 73 61 5f 66 69 65 6c 64 5f 69 64 20 7b 0a 20 20 46 49 45 4c 44 5f 74 2c 0a um.xtensa_field_id.{...FIELD_t,.
6de80 20 20 46 49 45 4c 44 5f 62 62 69 34 2c 0a 20 20 46 49 45 4c 44 5f 62 62 69 2c 0a 20 20 46 49 45 ..FIELD_bbi4,...FIELD_bbi,...FIE
6dea0 4c 44 5f 69 6d 6d 31 32 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 38 2c 0a 20 20 46 49 45 4c 44 5f LD_imm12,...FIELD_imm8,...FIELD_
6dec0 73 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 31 32 62 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 31 36 s,...FIELD_imm12b,...FIELD_imm16
6dee0 2c 0a 20 20 46 49 45 4c 44 5f 6d 2c 0a 20 20 46 49 45 4c 44 5f 6e 2c 0a 20 20 46 49 45 4c 44 5f ,...FIELD_m,...FIELD_n,...FIELD_
6df00 6f 66 66 73 65 74 2c 0a 20 20 46 49 45 4c 44 5f 6f 70 30 2c 0a 20 20 46 49 45 4c 44 5f 6f 70 31 offset,...FIELD_op0,...FIELD_op1
6df20 2c 0a 20 20 46 49 45 4c 44 5f 6f 70 32 2c 0a 20 20 46 49 45 4c 44 5f 72 2c 0a 20 20 46 49 45 4c ,...FIELD_op2,...FIELD_r,...FIEL
6df40 44 5f 73 61 34 2c 0a 20 20 46 49 45 4c 44 5f 73 61 65 34 2c 0a 20 20 46 49 45 4c 44 5f 73 61 65 D_sa4,...FIELD_sae4,...FIELD_sae
6df60 2c 0a 20 20 46 49 45 4c 44 5f 73 61 6c 2c 0a 20 20 46 49 45 4c 44 5f 73 61 72 67 74 2c 0a 20 20 ,...FIELD_sal,...FIELD_sargt,...
6df80 46 49 45 4c 44 5f 73 61 73 34 2c 0a 20 20 46 49 45 4c 44 5f 73 61 73 2c 0a 20 20 46 49 45 4c 44 FIELD_sas4,...FIELD_sas,...FIELD
6dfa0 5f 73 72 2c 0a 20 20 46 49 45 4c 44 5f 73 74 2c 0a 20 20 46 49 45 4c 44 5f 74 68 69 33 2c 0a 20 _sr,...FIELD_st,...FIELD_thi3,..
6dfc0 20 46 49 45 4c 44 5f 69 6d 6d 34 2c 0a 20 20 46 49 45 4c 44 5f 6d 6e 2c 0a 20 20 46 49 45 4c 44 .FIELD_imm4,...FIELD_mn,...FIELD
6dfe0 5f 69 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 36 6c 6f 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 36 _i,...FIELD_imm6lo,...FIELD_imm6
6e000 68 69 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 37 6c 6f 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 37 hi,...FIELD_imm7lo,...FIELD_imm7
6e020 68 69 2c 0a 20 20 46 49 45 4c 44 5f 7a 2c 0a 20 20 46 49 45 4c 44 5f 69 6d 6d 36 2c 0a 20 20 46 hi,...FIELD_z,...FIELD_imm6,...F
6e040 49 45 4c 44 5f 69 6d 6d 37 2c 0a 20 20 46 49 45 4c 44 5f 72 33 2c 0a 20 20 46 49 45 4c 44 5f 72 IELD_imm7,...FIELD_r3,...FIELD_r
6e060 62 69 74 32 2c 0a 20 20 46 49 45 4c 44 5f 72 68 69 2c 0a 20 20 46 49 45 4c 44 5f 74 33 2c 0a 20 bit2,...FIELD_rhi,...FIELD_t3,..
6e080 20 46 49 45 4c 44 5f 74 62 69 74 32 2c 0a 20 20 46 49 45 4c 44 5f 74 6c 6f 2c 0a 20 20 46 49 45 .FIELD_tbit2,...FIELD_tlo,...FIE
6e0a0 4c 44 5f 77 2c 0a 20 20 46 49 45 4c 44 5f 79 2c 0a 20 20 46 49 45 4c 44 5f 78 2c 0a 20 20 46 49 LD_w,...FIELD_y,...FIELD_x,...FI
6e0c0 45 4c 44 5f 78 74 5f 77 62 72 31 35 5f 69 6d 6d 2c 0a 20 20 46 49 45 4c 44 5f 78 74 5f 77 62 72 ELD_xt_wbr15_imm,...FIELD_xt_wbr
6e0e0 31 38 5f 69 6d 6d 2c 0a 20 20 46 49 45 4c 44 5f 62 69 74 69 6e 64 65 78 2c 0a 20 20 46 49 45 4c 18_imm,...FIELD_bitindex,...FIEL
6e100 44 5f 73 33 74 6f 31 2c 0a 20 20 46 49 45 4c 44 5f 5f 61 72 30 2c 0a 20 20 46 49 45 4c 44 5f 5f D_s3to1,...FIELD__ar0,...FIELD__
6e120 61 72 34 2c 0a 20 20 46 49 45 4c 44 5f 5f 61 72 38 2c 0a 20 20 46 49 45 4c 44 5f 5f 61 72 31 32 ar4,...FIELD__ar8,...FIELD__ar12
6e140 2c 0a 20 20 46 49 45 4c 44 5f 5f 6d 72 30 2c 0a 20 20 46 49 45 4c 44 5f 5f 6d 72 31 2c 0a 20 20 ,...FIELD__mr0,...FIELD__mr1,...
6e160 46 49 45 4c 44 5f 5f 6d 72 32 2c 0a 20 20 46 49 45 4c 44 5f 5f 6d 72 33 0a 7d 3b 0a 0a 0c 0a 2f FIELD__mr2,...FIELD__mr3.};..../
6e180 2a 20 46 75 6e 63 74 69 6f 6e 61 6c 20 75 6e 69 74 73 2e 20 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 *.Functional.units...*/..#define
6e1a0 20 66 75 6e 63 55 6e 69 74 73 20 30 0a 0a 0c 0a 2f 2a 20 52 65 67 69 73 74 65 72 20 66 69 6c 65 .funcUnits.0..../*.Register.file
6e1c0 73 2e 20 20 2a 2f 0a 0a 65 6e 75 6d 20 78 74 65 6e 73 61 5f 72 65 67 66 69 6c 65 5f 69 64 20 7b s...*/..enum.xtensa_regfile_id.{
6e1e0 0a 20 20 52 45 47 46 49 4c 45 5f 41 52 2c 0a 20 20 52 45 47 46 49 4c 45 5f 4d 52 0a 7d 3b 0a 0a ...REGFILE_AR,...REGFILE_MR.};..
6e200 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 72 65 67 66 69 6c 65 5f 69 6e 74 65 72 6e 61 6c 20 72 static.xtensa_regfile_internal.r
6e220 65 67 66 69 6c 65 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 22 41 52 22 2c 20 22 61 22 2c 20 52 45 47 egfiles[].=.{...{."AR",."a",.REG
6e240 46 49 4c 45 5f 41 52 2c 20 33 32 2c 20 33 32 20 7d 2c 0a 20 20 7b 20 22 4d 52 22 2c 20 22 6d 22 FILE_AR,.32,.32.},...{."MR",."m"
6e260 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 33 32 2c 20 34 20 7d 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 49 ,.REGFILE_MR,.32,.4.}.};..../*.I
6e280 6e 74 65 72 66 61 63 65 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 69 6e nterfaces...*/..static.xtensa_in
6e2a0 74 65 72 66 61 63 65 5f 69 6e 74 65 72 6e 61 6c 20 69 6e 74 65 72 66 61 63 65 73 5b 5d 20 3d 20 terface_internal.interfaces[].=.
6e2c0 7b 0a 20 20 7b 20 22 49 4d 50 57 49 52 45 22 2c 20 33 32 2c 20 30 2c 20 30 2c 20 27 69 27 20 7d {...{."IMPWIRE",.32,.0,.0,.'i'.}
6e2e0 0a 7d 3b 0a 0a 65 6e 75 6d 20 78 74 65 6e 73 61 5f 69 6e 74 65 72 66 61 63 65 5f 69 64 20 7b 0a .};..enum.xtensa_interface_id.{.
6e300 20 20 49 4e 54 45 52 46 41 43 45 5f 49 4d 50 57 49 52 45 0a 7d 3b 0a 0a 0a 2f 2a 20 43 6f 6e 73 ..INTERFACE_IMPWIRE.};.../*.Cons
6e320 74 61 6e 74 20 74 61 62 6c 65 73 2e 20 20 2a 2f 0a 0a 2f 2a 20 63 6f 6e 73 74 61 6e 74 20 74 61 tant.tables...*/../*.constant.ta
6e340 62 6c 65 20 61 69 34 63 20 2a 2f 0a 73 74 61 74 69 63 20 63 6f 6e 73 74 20 75 6e 73 69 67 6e 65 ble.ai4c.*/.static.const.unsigne
6e360 64 20 43 4f 4e 53 54 5f 54 42 4c 5f 61 69 34 63 5f 30 5b 5d 20 3d 20 7b 0a 20 20 30 78 66 66 66 d.CONST_TBL_ai4c_0[].=.{...0xfff
6e380 66 66 66 66 66 2c 0a 20 20 30 78 31 2c 0a 20 20 30 78 32 2c 0a 20 20 30 78 33 2c 0a 20 20 30 78 fffff,...0x1,...0x2,...0x3,...0x
6e3a0 34 2c 0a 20 20 30 78 35 2c 0a 20 20 30 78 36 2c 0a 20 20 30 78 37 2c 0a 20 20 30 78 38 2c 0a 20 4,...0x5,...0x6,...0x7,...0x8,..
6e3c0 20 30 78 39 2c 0a 20 20 30 78 61 2c 0a 20 20 30 78 62 2c 0a 20 20 30 78 63 2c 0a 20 20 30 78 64 .0x9,...0xa,...0xb,...0xc,...0xd
6e3e0 2c 0a 20 20 30 78 65 2c 0a 20 20 30 78 66 2c 0a 20 20 30 0a 7d 3b 0a 0a 2f 2a 20 63 6f 6e 73 74 ,...0xe,...0xf,...0.};../*.const
6e400 61 6e 74 20 74 61 62 6c 65 20 62 34 63 20 2a 2f 0a 73 74 61 74 69 63 20 63 6f 6e 73 74 20 75 6e ant.table.b4c.*/.static.const.un
6e420 73 69 67 6e 65 64 20 43 4f 4e 53 54 5f 54 42 4c 5f 62 34 63 5f 30 5b 5d 20 3d 20 7b 0a 20 20 30 signed.CONST_TBL_b4c_0[].=.{...0
6e440 78 66 66 66 66 66 66 66 66 2c 0a 20 20 30 78 31 2c 0a 20 20 30 78 32 2c 0a 20 20 30 78 33 2c 0a xffffffff,...0x1,...0x2,...0x3,.
6e460 20 20 30 78 34 2c 0a 20 20 30 78 35 2c 0a 20 20 30 78 36 2c 0a 20 20 30 78 37 2c 0a 20 20 30 78 ..0x4,...0x5,...0x6,...0x7,...0x
6e480 38 2c 0a 20 20 30 78 61 2c 0a 20 20 30 78 63 2c 0a 20 20 30 78 31 30 2c 0a 20 20 30 78 32 30 2c 8,...0xa,...0xc,...0x10,...0x20,
6e4a0 0a 20 20 30 78 34 30 2c 0a 20 20 30 78 38 30 2c 0a 20 20 30 78 31 30 30 2c 0a 20 20 30 0a 7d 3b ...0x40,...0x80,...0x100,...0.};
6e4c0 0a 0a 2f 2a 20 63 6f 6e 73 74 61 6e 74 20 74 61 62 6c 65 20 62 34 63 75 20 2a 2f 0a 73 74 61 74 ../*.constant.table.b4cu.*/.stat
6e4e0 69 63 20 63 6f 6e 73 74 20 75 6e 73 69 67 6e 65 64 20 43 4f 4e 53 54 5f 54 42 4c 5f 62 34 63 75 ic.const.unsigned.CONST_TBL_b4cu
6e500 5f 30 5b 5d 20 3d 20 7b 0a 20 20 30 78 38 30 30 30 2c 0a 20 20 30 78 31 30 30 30 30 2c 0a 20 20 _0[].=.{...0x8000,...0x10000,...
6e520 30 78 32 2c 0a 20 20 30 78 33 2c 0a 20 20 30 78 34 2c 0a 20 20 30 78 35 2c 0a 20 20 30 78 36 2c 0x2,...0x3,...0x4,...0x5,...0x6,
6e540 0a 20 20 30 78 37 2c 0a 20 20 30 78 38 2c 0a 20 20 30 78 61 2c 0a 20 20 30 78 63 2c 0a 20 20 30 ...0x7,...0x8,...0xa,...0xc,...0
6e560 78 31 30 2c 0a 20 20 30 78 32 30 2c 0a 20 20 30 78 34 30 2c 0a 20 20 30 78 38 30 2c 0a 20 20 30 x10,...0x20,...0x40,...0x80,...0
6e580 78 31 30 30 2c 0a 20 20 30 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 49 6e 73 74 72 75 63 74 69 6f 6e 20 6f x100,...0.};..../*.Instruction.o
6e5a0 70 65 72 61 6e 64 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 perands...*/..static.int.Operand
6e5c0 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 30 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 Sem_opnd_sem_MR_0_decode.(uint32
6e5e0 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 32 3b 0a 20 20 72 65 74 75 72 6e .*valp).{...*valp.+=.2;...return
6e600 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e .0;.}..static.int.OperandSem_opn
6e620 64 5f 73 65 6d 5f 4d 52 5f 30 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 d_sem_MR_0_encode.(uint32.*valp)
6e640 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 28 2a 76 61 6c .{...int.error;...error.=.((*val
6e660 70 20 26 20 7e 30 78 33 29 20 21 3d 20 30 29 20 7c 7c 20 28 28 2a 76 61 6c 70 20 26 20 30 78 32 p.&.~0x3).!=.0).||.((*valp.&.0x2
6e680 29 20 3d 3d 20 30 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 2a 76 61 6c 70 20 26 20 31 3b 0a 20 20 ).==.0);...*valp.=.*valp.&.1;...
6e6a0 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 return.error;.}..static.int.Oper
6e6c0 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 78 34 5f 64 65 63 6f 64 65 andSem_opnd_sem_soffsetx4_decode
6e6e0 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 .(uint32.*valp).{...unsigned.sof
6e700 66 73 65 74 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 66 73 65 74 fsetx4_out_0;...unsigned.soffset
6e720 78 34 5f 69 6e 5f 30 3b 0a 20 20 73 6f 66 66 73 65 74 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c x4_in_0;...soffsetx4_in_0.=.*val
6e740 70 20 26 20 30 78 33 66 66 66 66 3b 0a 20 20 73 6f 66 66 73 65 74 78 34 5f 6f 75 74 5f 30 20 3d p.&.0x3ffff;...soffsetx4_out_0.=
6e760 20 30 78 34 20 2b 20 28 28 28 28 69 6e 74 29 20 73 6f 66 66 73 65 74 78 34 5f 69 6e 5f 30 20 3c .0x4.+.((((int).soffsetx4_in_0.<
6e780 3c 20 31 34 29 20 3e 3e 20 31 34 29 20 3c 3c 20 32 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 6f <.14).>>.14).<<.2);...*valp.=.so
6e7a0 66 66 73 65 74 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 ffsetx4_out_0;...return.0;.}..st
6e7c0 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 atic.int.OperandSem_opnd_sem_sof
6e7e0 66 73 65 74 78 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 fsetx4_encode.(uint32.*valp).{..
6e800 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 66 73 65 74 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 .unsigned.soffsetx4_in_0;...unsi
6e820 67 6e 65 64 20 73 6f 66 66 73 65 74 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 73 6f 66 66 73 65 74 78 gned.soffsetx4_out_0;...soffsetx
6e840 34 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 6f 66 66 73 65 74 78 34 5f 69 6e 5f 4_out_0.=.*valp;...soffsetx4_in_
6e860 30 20 3d 20 28 28 73 6f 66 66 73 65 74 78 34 5f 6f 75 74 5f 30 20 2d 20 30 78 34 29 20 3e 3e 20 0.=.((soffsetx4_out_0.-.0x4).>>.
6e880 32 29 20 26 20 30 78 33 66 66 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 6f 66 66 73 65 74 78 2).&.0x3ffff;...*valp.=.soffsetx
6e8a0 34 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 4_in_0;...return.0;.}..static.in
6e8c0 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 32 78 38 5f 64 t.OperandSem_opnd_sem_uimm12x8_d
6e8e0 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 ecode.(uint32.*valp).{...unsigne
6e900 64 20 75 69 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d d.uimm12x8_out_0;...unsigned.uim
6e920 6d 31 32 78 38 5f 69 6e 5f 30 3b 0a 20 20 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 20 3d 20 2a 76 m12x8_in_0;...uimm12x8_in_0.=.*v
6e940 61 6c 70 20 26 20 30 78 66 66 66 3b 0a 20 20 75 69 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 20 3d 20 alp.&.0xfff;...uimm12x8_out_0.=.
6e960 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 20 3c 3c 20 33 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 uimm12x8_in_0.<<.3;...*valp.=.ui
6e980 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 mm12x8_out_0;...return.0;.}..sta
6e9a0 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d tic.int.OperandSem_opnd_sem_uimm
6e9c0 31 32 78 38 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 12x8_encode.(uint32.*valp).{...u
6e9e0 6e 73 69 67 6e 65 64 20 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 nsigned.uimm12x8_in_0;...unsigne
6ea00 64 20 75 69 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 69 6d 6d 31 32 78 38 5f 6f 75 74 d.uimm12x8_out_0;...uimm12x8_out
6ea20 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 20 3d 20 28 28 _0.=.*valp;...uimm12x8_in_0.=.((
6ea40 75 69 6d 6d 31 32 78 38 5f 6f 75 74 5f 30 20 3e 3e 20 33 29 20 26 20 30 78 66 66 66 29 3b 0a 20 uimm12x8_out_0.>>.3).&.0xfff);..
6ea60 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 31 32 78 38 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e .*valp.=.uimm12x8_in_0;...return
6ea80 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e .0;.}..static.int.OperandSem_opn
6eaa0 64 5f 73 65 6d 5f 73 69 6d 6d 34 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 d_sem_simm4_decode.(uint32.*valp
6eac0 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e ).{...unsigned.simm4_out_0;...un
6eae0 73 69 67 6e 65 64 20 73 69 6d 6d 34 5f 69 6e 5f 30 3b 0a 20 20 73 69 6d 6d 34 5f 69 6e 5f 30 20 signed.simm4_in_0;...simm4_in_0.
6eb00 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 20 3d 20 28 =.*valp.&.0xf;...simm4_out_0.=.(
6eb20 28 69 6e 74 29 20 73 69 6d 6d 34 5f 69 6e 5f 30 20 3c 3c 20 32 38 29 20 3e 3e 20 32 38 3b 0a 20 (int).simm4_in_0.<<.28).>>.28;..
6eb40 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 .*valp.=.simm4_out_0;...return.0
6eb60 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f ;.}..static.int.OperandSem_opnd_
6eb80 73 65 6d 5f 73 69 6d 6d 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a sem_simm4_encode.(uint32.*valp).
6eba0 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 {...unsigned.simm4_in_0;...unsig
6ebc0 6e 65 64 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 3b 0a 20 20 73 69 6d 6d 34 5f 6f 75 74 5f 30 20 3d ned.simm4_out_0;...simm4_out_0.=
6ebe0 20 2a 76 61 6c 70 3b 0a 20 20 73 69 6d 6d 34 5f 69 6e 5f 30 20 3d 20 28 73 69 6d 6d 34 5f 6f 75 .*valp;...simm4_in_0.=.(simm4_ou
6ec00 74 5f 30 20 26 20 30 78 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 34 5f 69 6e 5f 30 t_0.&.0xf);...*valp.=.simm4_in_0
6ec20 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 ;...return.0;.}..static.int.Oper
6ec40 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 andSem_opnd_sem_AR_decode.(uint3
6ec60 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 2.*valp.ATTRIBUTE_UNUSED).{...re
6ec80 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
6eca0 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c _opnd_sem_AR_encode.(uint32.*val
6ecc0 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 p).{...int.error;...error.=.(*va
6ece0 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 lp.>=.32);...return.error;.}..st
6ed00 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f atic.int.OperandSem_opnd_sem_AR_
6ed20 30 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 0_decode.(uint32.*valp.ATTRIBUTE
6ed40 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 _UNUSED).{...return.0;.}..static
6ed60 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 30 5f 65 6e .int.OperandSem_opnd_sem_AR_0_en
6ed80 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f code.(uint32.*valp).{...int.erro
6eda0 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 72 65 r;...error.=.(*valp.>=.32);...re
6edc0 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e turn.error;.}..static.int.Operan
6ede0 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 31 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 dSem_opnd_sem_AR_1_decode.(uint3
6ee00 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 2.*valp.ATTRIBUTE_UNUSED).{...re
6ee20 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
6ee40 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 31 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 _opnd_sem_AR_1_encode.(uint32.*v
6ee60 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a alp).{...int.error;...error.=.(*
6ee80 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a valp.>=.32);...return.error;.}..
6eea0 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 static.int.OperandSem_opnd_sem_A
6eec0 52 5f 32 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 R_2_decode.(uint32.*valp.ATTRIBU
6eee0 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 TE_UNUSED).{...return.0;.}..stat
6ef00 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 32 5f ic.int.OperandSem_opnd_sem_AR_2_
6ef20 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 encode.(uint32.*valp).{...int.er
6ef40 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 ror;...error.=.(*valp.>=.32);...
6ef60 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 return.error;.}..static.int.Oper
6ef80 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 33 5f 64 65 63 6f 64 65 20 28 75 69 6e andSem_opnd_sem_AR_3_decode.(uin
6efa0 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 t32.*valp.ATTRIBUTE_UNUSED).{...
6efc0 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 return.0;.}..static.int.OperandS
6efe0 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 33 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 em_opnd_sem_AR_3_encode.(uint32.
6f000 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 *valp).{...int.error;...error.=.
6f020 28 2a 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d (*valp.>=.32);...return.error;.}
6f040 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d ..static.int.OperandSem_opnd_sem
6f060 5f 41 52 5f 34 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 _AR_4_decode.(uint32.*valp.ATTRI
6f080 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 BUTE_UNUSED).{...return.0;.}..st
6f0a0 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f atic.int.OperandSem_opnd_sem_AR_
6f0c0 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 4_encode.(uint32.*valp).{...int.
6f0e0 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 33 32 29 3b 0a error;...error.=.(*valp.>=.32);.
6f100 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 ..return.error;.}..static.int.Op
6f120 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 72 78 34 5f 64 65 63 6f 64 65 20 erandSem_opnd_sem_immrx4_decode.
6f140 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 69 6d 6d 72 (uint32.*valp).{...unsigned.immr
6f160 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 x4_out_0;...unsigned.immrx4_in_0
6f180 3b 0a 20 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 ;...immrx4_in_0.=.*valp.&.0xf;..
6f1a0 20 69 6d 6d 72 78 34 5f 6f 75 74 5f 30 20 3d 20 28 28 28 30 78 66 66 66 66 66 66 66 29 20 3c 3c .immrx4_out_0.=.(((0xfffffff).<<
6f1c0 20 34 29 20 7c 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 29 20 3c 3c 20 32 3b 0a 20 20 2a 76 61 6c 70 .4).|.immrx4_in_0).<<.2;...*valp
6f1e0 20 3d 20 69 6d 6d 72 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a .=.immrx4_out_0;...return.0;.}..
6f200 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 static.int.OperandSem_opnd_sem_i
6f220 6d 6d 72 78 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 mmrx4_encode.(uint32.*valp).{...
6f240 75 6e 73 69 67 6e 65 64 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 unsigned.immrx4_in_0;...unsigned
6f260 20 69 6d 6d 72 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 69 6d 6d 72 78 34 5f 6f 75 74 5f 30 20 3d 20 .immrx4_out_0;...immrx4_out_0.=.
6f280 2a 76 61 6c 70 3b 0a 20 20 69 6d 6d 72 78 34 5f 69 6e 5f 30 20 3d 20 28 28 69 6d 6d 72 78 34 5f *valp;...immrx4_in_0.=.((immrx4_
6f2a0 6f 75 74 5f 30 20 3e 3e 20 32 29 20 26 20 30 78 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 69 6d out_0.>>.2).&.0xf);...*valp.=.im
6f2c0 6d 72 78 34 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 mrx4_in_0;...return.0;.}..static
6f2e0 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f .int.OperandSem_opnd_sem_lsi4x4_
6f300 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e decode.(uint32.*valp).{...unsign
6f320 65 64 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 73 69 34 ed.lsi4x4_out_0;...unsigned.lsi4
6f340 78 34 5f 69 6e 5f 30 3b 0a 20 20 6c 73 69 34 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 x4_in_0;...lsi4x4_in_0.=.*valp.&
6f360 20 30 78 66 3b 0a 20 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 20 3d 20 6c 73 69 34 78 34 5f 69 6e .0xf;...lsi4x4_out_0.=.lsi4x4_in
6f380 5f 30 20 3c 3c 20 32 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 3b _0.<<.2;...*valp.=.lsi4x4_out_0;
6f3a0 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 ...return.0;.}..static.int.Opera
6f3c0 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f 65 6e 63 6f 64 65 20 28 75 69 ndSem_opnd_sem_lsi4x4_encode.(ui
6f3e0 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 73 69 34 78 34 5f nt32.*valp).{...unsigned.lsi4x4_
6f400 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 3b 0a 20 in_0;...unsigned.lsi4x4_out_0;..
6f420 20 6c 73 69 34 78 34 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 6c 73 69 34 78 34 5f .lsi4x4_out_0.=.*valp;...lsi4x4_
6f440 69 6e 5f 30 20 3d 20 28 28 6c 73 69 34 78 34 5f 6f 75 74 5f 30 20 3e 3e 20 32 29 20 26 20 30 78 in_0.=.((lsi4x4_out_0.>>.2).&.0x
6f460 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 73 69 34 78 34 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 f);...*valp.=.lsi4x4_in_0;...ret
6f480 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f urn.0;.}..static.int.OperandSem_
6f4a0 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 37 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 opnd_sem_simm7_decode.(uint32.*v
6f4c0 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 37 5f 6f 75 74 5f 30 3b 0a 20 alp).{...unsigned.simm7_out_0;..
6f4e0 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 37 5f 69 6e 5f 30 3b 0a 20 20 73 69 6d 6d 37 5f 69 6e .unsigned.simm7_in_0;...simm7_in
6f500 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 37 66 3b 0a 20 20 73 69 6d 6d 37 5f 6f 75 74 5f 30 _0.=.*valp.&.0x7f;...simm7_out_0
6f520 20 3d 20 28 28 28 28 2d 28 28 28 28 73 69 6d 6d 37 5f 69 6e 5f 30 20 3e 3e 20 36 29 20 26 20 31 .=.((((-((((simm7_in_0.>>.6).&.1
6f540 29 29 20 26 20 28 28 28 73 69 6d 6d 37 5f 69 6e 5f 30 20 3e 3e 20 35 29 20 26 20 31 29 29 29 29 )).&.(((simm7_in_0.>>.5).&.1))))
6f560 20 26 20 30 78 31 66 66 66 66 66 66 29 29 20 3c 3c 20 37 29 20 7c 20 73 69 6d 6d 37 5f 69 6e 5f .&.0x1ffffff)).<<.7).|.simm7_in_
6f580 30 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 37 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 0;...*valp.=.simm7_out_0;...retu
6f5a0 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f rn.0;.}..static.int.OperandSem_o
6f5c0 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 37 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 pnd_sem_simm7_encode.(uint32.*va
6f5e0 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 37 5f 69 6e 5f 30 3b 0a 20 20 75 lp).{...unsigned.simm7_in_0;...u
6f600 6e 73 69 67 6e 65 64 20 73 69 6d 6d 37 5f 6f 75 74 5f 30 3b 0a 20 20 73 69 6d 6d 37 5f 6f 75 74 nsigned.simm7_out_0;...simm7_out
6f620 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 69 6d 6d 37 5f 69 6e 5f 30 20 3d 20 28 73 69 6d 6d _0.=.*valp;...simm7_in_0.=.(simm
6f640 37 5f 6f 75 74 5f 30 20 26 20 30 78 37 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 37 7_out_0.&.0x7f);...*valp.=.simm7
6f660 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 _in_0;...return.0;.}..static.int
6f680 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 36 5f 64 65 63 6f 64 .OperandSem_opnd_sem_uimm6_decod
6f6a0 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 e.(uint32.*valp).{...unsigned.ui
6f6c0 6d 6d 36 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 36 5f 69 6e 5f 30 mm6_out_0;...unsigned.uimm6_in_0
6f6e0 3b 0a 20 20 75 69 6d 6d 36 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 33 66 3b 0a 20 ;...uimm6_in_0.=.*valp.&.0x3f;..
6f700 20 75 69 6d 6d 36 5f 6f 75 74 5f 30 20 3d 20 30 78 34 20 2b 20 28 28 28 30 29 20 3c 3c 20 36 29 .uimm6_out_0.=.0x4.+.(((0).<<.6)
6f720 20 7c 20 75 69 6d 6d 36 5f 69 6e 5f 30 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 36 5f .|.uimm6_in_0);...*valp.=.uimm6_
6f740 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 out_0;...return.0;.}..static.int
6f760 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 36 5f 65 6e 63 6f 64 .OperandSem_opnd_sem_uimm6_encod
6f780 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 e.(uint32.*valp).{...unsigned.ui
6f7a0 6d 6d 36 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 36 5f 6f 75 74 5f 30 mm6_in_0;...unsigned.uimm6_out_0
6f7c0 3b 0a 20 20 75 69 6d 6d 36 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 6d 6d 36 ;...uimm6_out_0.=.*valp;...uimm6
6f7e0 5f 69 6e 5f 30 20 3d 20 28 75 69 6d 6d 36 5f 6f 75 74 5f 30 20 2d 20 30 78 34 29 20 26 20 30 78 _in_0.=.(uimm6_out_0.-.0x4).&.0x
6f800 33 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 36 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 3f;...*valp.=.uimm6_in_0;...retu
6f820 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f rn.0;.}..static.int.OperandSem_o
6f840 70 6e 64 5f 73 65 6d 5f 61 69 34 63 6f 6e 73 74 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 pnd_sem_ai4const_decode.(uint32.
6f860 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 61 69 34 63 6f 6e 73 74 5f 6f 75 74 *valp).{...unsigned.ai4const_out
6f880 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 _0;...unsigned.ai4const_in_0;...
6f8a0 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 61 ai4const_in_0.=.*valp.&.0xf;...a
6f8c0 69 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 20 3d 20 43 4f 4e 53 54 5f 54 42 4c 5f 61 69 34 63 5f 30 i4const_out_0.=.CONST_TBL_ai4c_0
6f8e0 5b 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 26 20 30 78 66 5d 3b 0a 20 20 2a 76 61 6c 70 20 3d [ai4const_in_0.&.0xf];...*valp.=
6f900 20 61 69 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a .ai4const_out_0;...return.0;.}..
6f920 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 61 static.int.OperandSem_opnd_sem_a
6f940 69 34 63 6f 6e 73 74 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a i4const_encode.(uint32.*valp).{.
6f960 20 20 75 6e 73 69 67 6e 65 64 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 ..unsigned.ai4const_in_0;...unsi
6f980 67 6e 65 64 20 61 69 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 3b 0a 20 20 61 69 34 63 6f 6e 73 74 5f gned.ai4const_out_0;...ai4const_
6f9a0 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 77 69 74 63 68 20 28 61 69 34 63 6f 6e 73 out_0.=.*valp;...switch.(ai4cons
6f9c0 74 5f 6f 75 74 5f 30 29 0a 20 20 20 20 7b 0a 20 20 20 20 63 61 73 65 20 30 78 66 66 66 66 66 66 t_out_0).....{.....case.0xffffff
6f9e0 66 66 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 3b 20 62 72 65 61 6b 3b 0a 20 20 ff:.ai4const_in_0.=.0;.break;...
6fa00 20 20 63 61 73 65 20 30 78 31 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 31 3b ..case.0x1:.ai4const_in_0.=.0x1;
6fa20 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 32 3a 20 61 69 34 63 6f 6e 73 74 5f 69 .break;.....case.0x2:.ai4const_i
6fa40 6e 5f 30 20 3d 20 30 78 32 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 33 3a 20 n_0.=.0x2;.break;.....case.0x3:.
6fa60 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 33 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 ai4const_in_0.=.0x3;.break;.....
6fa80 63 61 73 65 20 30 78 34 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 34 3b 20 62 case.0x4:.ai4const_in_0.=.0x4;.b
6faa0 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 35 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f reak;.....case.0x5:.ai4const_in_
6fac0 30 20 3d 20 30 78 35 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 36 3a 20 61 69 0.=.0x5;.break;.....case.0x6:.ai
6fae0 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 36 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 4const_in_0.=.0x6;.break;.....ca
6fb00 73 65 20 30 78 37 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 37 3b 20 62 72 65 se.0x7:.ai4const_in_0.=.0x7;.bre
6fb20 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 38 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 ak;.....case.0x8:.ai4const_in_0.
6fb40 3d 20 30 78 38 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 39 3a 20 61 69 34 63 =.0x8;.break;.....case.0x9:.ai4c
6fb60 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 39 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 onst_in_0.=.0x9;.break;.....case
6fb80 20 30 78 61 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 61 3b 20 62 72 65 61 6b .0xa:.ai4const_in_0.=.0xa;.break
6fba0 3b 0a 20 20 20 20 63 61 73 65 20 30 78 62 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 ;.....case.0xb:.ai4const_in_0.=.
6fbc0 30 78 62 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 63 3a 20 61 69 34 63 6f 6e 0xb;.break;.....case.0xc:.ai4con
6fbe0 73 74 5f 69 6e 5f 30 20 3d 20 30 78 63 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 st_in_0.=.0xc;.break;.....case.0
6fc00 78 64 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 64 3b 20 62 72 65 61 6b 3b 0a xd:.ai4const_in_0.=.0xd;.break;.
6fc20 20 20 20 20 63 61 73 65 20 30 78 65 3a 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 ....case.0xe:.ai4const_in_0.=.0x
6fc40 65 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 64 65 66 61 75 6c 74 3a 20 61 69 34 63 6f 6e 73 74 5f e;.break;.....default:.ai4const_
6fc60 69 6e 5f 30 20 3d 20 30 78 66 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 7d 0a 20 20 2a 76 61 6c 70 in_0.=.0xf;.break;.....}...*valp
6fc80 20 3d 20 61 69 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a .=.ai4const_in_0;...return.0;.}.
6fca0 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f .static.int.OperandSem_opnd_sem_
6fcc0 62 34 63 6f 6e 73 74 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a b4const_decode.(uint32.*valp).{.
6fce0 20 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 ..unsigned.b4const_out_0;...unsi
6fd00 67 6e 65 64 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 62 34 63 6f 6e 73 74 5f 69 6e 5f gned.b4const_in_0;...b4const_in_
6fd20 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 0.=.*valp.&.0xf;...b4const_out_0
6fd40 20 3d 20 43 4f 4e 53 54 5f 54 42 4c 5f 62 34 63 5f 30 5b 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 .=.CONST_TBL_b4c_0[b4const_in_0.
6fd60 26 20 30 78 66 5d 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 3b &.0xf];...*valp.=.b4const_out_0;
6fd80 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 ...return.0;.}..static.int.Opera
6fda0 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 5f 65 6e 63 6f 64 65 20 28 75 ndSem_opnd_sem_b4const_encode.(u
6fdc0 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e 73 int32.*valp).{...unsigned.b4cons
6fde0 74 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 t_in_0;...unsigned.b4const_out_0
6fe00 3b 0a 20 20 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 77 69 ;...b4const_out_0.=.*valp;...swi
6fe20 74 63 68 20 28 62 34 63 6f 6e 73 74 5f 6f 75 74 5f 30 29 0a 20 20 20 20 7b 0a 20 20 20 20 63 61 tch.(b4const_out_0).....{.....ca
6fe40 73 65 20 30 78 66 66 66 66 66 66 66 66 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 3b se.0xffffffff:.b4const_in_0.=.0;
6fe60 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 31 3a 20 62 34 63 6f 6e 73 74 5f 69 6e .break;.....case.0x1:.b4const_in
6fe80 5f 30 20 3d 20 30 78 31 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 32 3a 20 62 _0.=.0x1;.break;.....case.0x2:.b
6fea0 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 32 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 4const_in_0.=.0x2;.break;.....ca
6fec0 73 65 20 30 78 33 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 33 3b 20 62 72 65 61 se.0x3:.b4const_in_0.=.0x3;.brea
6fee0 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 34 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 k;.....case.0x4:.b4const_in_0.=.
6ff00 30 78 34 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 35 3a 20 62 34 63 6f 6e 73 0x4;.break;.....case.0x5:.b4cons
6ff20 74 5f 69 6e 5f 30 20 3d 20 30 78 35 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 t_in_0.=.0x5;.break;.....case.0x
6ff40 36 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 36 3b 20 62 72 65 61 6b 3b 0a 20 20 6:.b4const_in_0.=.0x6;.break;...
6ff60 20 20 63 61 73 65 20 30 78 37 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 37 3b 20 ..case.0x7:.b4const_in_0.=.0x7;.
6ff80 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 38 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f break;.....case.0x8:.b4const_in_
6ffa0 30 20 3d 20 30 78 38 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 61 3a 20 62 34 0.=.0x8;.break;.....case.0xa:.b4
6ffc0 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 39 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 const_in_0.=.0x9;.break;.....cas
6ffe0 65 20 30 78 63 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 61 3b 20 62 72 65 61 6b e.0xc:.b4const_in_0.=.0xa;.break
70000 3b 0a 20 20 20 20 63 61 73 65 20 30 78 31 30 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 ;.....case.0x10:.b4const_in_0.=.
70020 30 78 62 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 32 30 3a 20 62 34 63 6f 6e 0xb;.break;.....case.0x20:.b4con
70040 73 74 5f 69 6e 5f 30 20 3d 20 30 78 63 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 st_in_0.=.0xc;.break;.....case.0
70060 78 34 30 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 64 3b 20 62 72 65 61 6b 3b 0a x40:.b4const_in_0.=.0xd;.break;.
70080 20 20 20 20 63 61 73 65 20 30 78 38 30 3a 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 20 3d 20 30 78 ....case.0x80:.b4const_in_0.=.0x
700a0 65 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 64 65 66 61 75 6c 74 3a 20 62 34 63 6f 6e 73 74 5f 69 e;.break;.....default:.b4const_i
700c0 6e 5f 30 20 3d 20 30 78 66 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 7d 0a 20 20 2a 76 61 6c 70 20 n_0.=.0xf;.break;.....}...*valp.
700e0 3d 20 62 34 63 6f 6e 73 74 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 =.b4const_in_0;...return.0;.}..s
70100 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 tatic.int.OperandSem_opnd_sem_b4
70120 63 6f 6e 73 74 75 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 constu_decode.(uint32.*valp).{..
70140 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e 73 74 75 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 .unsigned.b4constu_out_0;...unsi
70160 67 6e 65 64 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 3b 0a 20 20 62 34 63 6f 6e 73 74 75 5f 69 gned.b4constu_in_0;...b4constu_i
70180 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 62 34 63 6f 6e 73 74 75 5f 6f 75 n_0.=.*valp.&.0xf;...b4constu_ou
701a0 74 5f 30 20 3d 20 43 4f 4e 53 54 5f 54 42 4c 5f 62 34 63 75 5f 30 5b 62 34 63 6f 6e 73 74 75 5f t_0.=.CONST_TBL_b4cu_0[b4constu_
701c0 69 6e 5f 30 20 26 20 30 78 66 5d 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 62 34 63 6f 6e 73 74 75 5f in_0.&.0xf];...*valp.=.b4constu_
701e0 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 out_0;...return.0;.}..static.int
70200 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 75 5f 65 6e .OperandSem_opnd_sem_b4constu_en
70220 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 code.(uint32.*valp).{...unsigned
70240 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 34 63 6f 6e .b4constu_in_0;...unsigned.b4con
70260 73 74 75 5f 6f 75 74 5f 30 3b 0a 20 20 62 34 63 6f 6e 73 74 75 5f 6f 75 74 5f 30 20 3d 20 2a 76 stu_out_0;...b4constu_out_0.=.*v
70280 61 6c 70 3b 0a 20 20 73 77 69 74 63 68 20 28 62 34 63 6f 6e 73 74 75 5f 6f 75 74 5f 30 29 0a 20 alp;...switch.(b4constu_out_0)..
702a0 20 20 20 7b 0a 20 20 20 20 63 61 73 65 20 30 78 38 30 30 30 3a 20 62 34 63 6f 6e 73 74 75 5f 69 ...{.....case.0x8000:.b4constu_i
702c0 6e 5f 30 20 3d 20 30 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 31 30 30 30 30 n_0.=.0;.break;.....case.0x10000
702e0 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 31 3b 20 62 72 65 61 6b 3b 0a 20 20 :.b4constu_in_0.=.0x1;.break;...
70300 20 20 63 61 73 65 20 30 78 32 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 32 3b ..case.0x2:.b4constu_in_0.=.0x2;
70320 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 33 3a 20 62 34 63 6f 6e 73 74 75 5f 69 .break;.....case.0x3:.b4constu_i
70340 6e 5f 30 20 3d 20 30 78 33 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 34 3a 20 n_0.=.0x3;.break;.....case.0x4:.
70360 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 34 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 b4constu_in_0.=.0x4;.break;.....
70380 63 61 73 65 20 30 78 35 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 35 3b 20 62 case.0x5:.b4constu_in_0.=.0x5;.b
703a0 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 36 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f reak;.....case.0x6:.b4constu_in_
703c0 30 20 3d 20 30 78 36 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 37 3a 20 62 34 0.=.0x6;.break;.....case.0x7:.b4
703e0 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 37 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 constu_in_0.=.0x7;.break;.....ca
70400 73 65 20 30 78 38 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 38 3b 20 62 72 65 se.0x8:.b4constu_in_0.=.0x8;.bre
70420 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 61 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 ak;.....case.0xa:.b4constu_in_0.
70440 3d 20 30 78 39 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 63 3a 20 62 34 63 6f =.0x9;.break;.....case.0xc:.b4co
70460 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 61 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 nstu_in_0.=.0xa;.break;.....case
70480 20 30 78 31 30 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 62 3b 20 62 72 65 61 .0x10:.b4constu_in_0.=.0xb;.brea
704a0 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 32 30 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 k;.....case.0x20:.b4constu_in_0.
704c0 3d 20 30 78 63 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 65 20 30 78 34 30 3a 20 62 34 63 =.0xc;.break;.....case.0x40:.b4c
704e0 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 64 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 63 61 73 onstu_in_0.=.0xd;.break;.....cas
70500 65 20 30 78 38 30 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d 20 30 78 65 3b 20 62 72 65 e.0x80:.b4constu_in_0.=.0xe;.bre
70520 61 6b 3b 0a 20 20 20 20 64 65 66 61 75 6c 74 3a 20 62 34 63 6f 6e 73 74 75 5f 69 6e 5f 30 20 3d ak;.....default:.b4constu_in_0.=
70540 20 30 78 66 3b 20 62 72 65 61 6b 3b 0a 20 20 20 20 7d 0a 20 20 2a 76 61 6c 70 20 3d 20 62 34 63 .0xf;.break;.....}...*valp.=.b4c
70560 6f 6e 73 74 75 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 onstu_in_0;...return.0;.}..stati
70580 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 5f c.int.OperandSem_opnd_sem_uimm8_
705a0 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e decode.(uint32.*valp).{...unsign
705c0 65 64 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 ed.uimm8_out_0;...unsigned.uimm8
705e0 5f 69 6e 5f 30 3b 0a 20 20 75 69 6d 6d 38 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 _in_0;...uimm8_in_0.=.*valp.&.0x
70600 66 66 3b 0a 20 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 20 3d 20 75 69 6d 6d 38 5f 69 6e 5f 30 3b 0a ff;...uimm8_out_0.=.uimm8_in_0;.
70620 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 ..*valp.=.uimm8_out_0;...return.
70640 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 0;.}..static.int.OperandSem_opnd
70660 5f 73 65 6d 5f 75 69 6d 6d 38 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 _sem_uimm8_encode.(uint32.*valp)
70680 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 .{...unsigned.uimm8_in_0;...unsi
706a0 67 6e 65 64 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 69 6d 6d 38 5f 6f 75 74 5f 30 20 gned.uimm8_out_0;...uimm8_out_0.
706c0 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 6d 6d 38 5f 69 6e 5f 30 20 3d 20 28 75 69 6d 6d 38 5f 6f =.*valp;...uimm8_in_0.=.(uimm8_o
706e0 75 74 5f 30 20 26 20 30 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 5f 69 6e ut_0.&.0xff);...*valp.=.uimm8_in
70700 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
70720 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 32 5f 64 65 63 6f 64 65 erandSem_opnd_sem_uimm8x2_decode
70740 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d .(uint32.*valp).{...unsigned.uim
70760 6d 38 78 32 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 78 32 5f 69 m8x2_out_0;...unsigned.uimm8x2_i
70780 6e 5f 30 3b 0a 20 20 75 69 6d 6d 38 78 32 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 n_0;...uimm8x2_in_0.=.*valp.&.0x
707a0 66 66 3b 0a 20 20 75 69 6d 6d 38 78 32 5f 6f 75 74 5f 30 20 3d 20 75 69 6d 6d 38 78 32 5f 69 6e ff;...uimm8x2_out_0.=.uimm8x2_in
707c0 5f 30 20 3c 3c 20 31 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 78 32 5f 6f 75 74 5f 30 _0.<<.1;...*valp.=.uimm8x2_out_0
707e0 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 ;...return.0;.}..static.int.Oper
70800 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 32 5f 65 6e 63 6f 64 65 20 28 andSem_opnd_sem_uimm8x2_encode.(
70820 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 uint32.*valp).{...unsigned.uimm8
70840 78 32 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 78 32 5f 6f 75 74 5f x2_in_0;...unsigned.uimm8x2_out_
70860 30 3b 0a 20 20 75 69 6d 6d 38 78 32 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 0;...uimm8x2_out_0.=.*valp;...ui
70880 6d 6d 38 78 32 5f 69 6e 5f 30 20 3d 20 28 28 75 69 6d 6d 38 78 32 5f 6f 75 74 5f 30 20 3e 3e 20 mm8x2_in_0.=.((uimm8x2_out_0.>>.
708a0 31 29 20 26 20 30 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 78 32 5f 69 6e 1).&.0xff);...*valp.=.uimm8x2_in
708c0 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
708e0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 34 5f 64 65 63 6f 64 65 erandSem_opnd_sem_uimm8x4_decode
70900 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d .(uint32.*valp).{...unsigned.uim
70920 6d 38 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 78 34 5f 69 m8x4_out_0;...unsigned.uimm8x4_i
70940 6e 5f 30 3b 0a 20 20 75 69 6d 6d 38 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 n_0;...uimm8x4_in_0.=.*valp.&.0x
70960 66 66 3b 0a 20 20 75 69 6d 6d 38 78 34 5f 6f 75 74 5f 30 20 3d 20 75 69 6d 6d 38 78 34 5f 69 6e ff;...uimm8x4_out_0.=.uimm8x4_in
70980 5f 30 20 3c 3c 20 32 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 78 34 5f 6f 75 74 5f 30 _0.<<.2;...*valp.=.uimm8x4_out_0
709a0 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 ;...return.0;.}..static.int.Oper
709c0 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 34 5f 65 6e 63 6f 64 65 20 28 andSem_opnd_sem_uimm8x4_encode.(
709e0 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 uint32.*valp).{...unsigned.uimm8
70a00 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 38 78 34 5f 6f 75 74 5f x4_in_0;...unsigned.uimm8x4_out_
70a20 30 3b 0a 20 20 75 69 6d 6d 38 78 34 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 69 0;...uimm8x4_out_0.=.*valp;...ui
70a40 6d 6d 38 78 34 5f 69 6e 5f 30 20 3d 20 28 28 75 69 6d 6d 38 78 34 5f 6f 75 74 5f 30 20 3e 3e 20 mm8x4_in_0.=.((uimm8x4_out_0.>>.
70a60 32 29 20 26 20 30 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 38 78 34 5f 69 6e 2).&.0xff);...*valp.=.uimm8x4_in
70a80 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
70aa0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 34 78 31 36 5f 64 65 63 6f 64 erandSem_opnd_sem_uimm4x16_decod
70ac0 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 e.(uint32.*valp).{...unsigned.ui
70ae0 6d 6d 34 78 31 36 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 34 78 31 mm4x16_out_0;...unsigned.uimm4x1
70b00 36 5f 69 6e 5f 30 3b 0a 20 20 75 69 6d 6d 34 78 31 36 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 6_in_0;...uimm4x16_in_0.=.*valp.
70b20 26 20 30 78 66 3b 0a 20 20 75 69 6d 6d 34 78 31 36 5f 6f 75 74 5f 30 20 3d 20 75 69 6d 6d 34 78 &.0xf;...uimm4x16_out_0.=.uimm4x
70b40 31 36 5f 69 6e 5f 30 20 3c 3c 20 34 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 34 78 31 36 16_in_0.<<.4;...*valp.=.uimm4x16
70b60 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e _out_0;...return.0;.}..static.in
70b80 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 34 78 31 36 5f 65 t.OperandSem_opnd_sem_uimm4x16_e
70ba0 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 ncode.(uint32.*valp).{...unsigne
70bc0 64 20 75 69 6d 6d 34 78 31 36 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d d.uimm4x16_in_0;...unsigned.uimm
70be0 34 78 31 36 5f 6f 75 74 5f 30 3b 0a 20 20 75 69 6d 6d 34 78 31 36 5f 6f 75 74 5f 30 20 3d 20 2a 4x16_out_0;...uimm4x16_out_0.=.*
70c00 76 61 6c 70 3b 0a 20 20 75 69 6d 6d 34 78 31 36 5f 69 6e 5f 30 20 3d 20 28 28 75 69 6d 6d 34 78 valp;...uimm4x16_in_0.=.((uimm4x
70c20 31 36 5f 6f 75 74 5f 30 20 3e 3e 20 34 29 20 26 20 30 78 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 16_out_0.>>.4).&.0xf);...*valp.=
70c40 20 75 69 6d 6d 34 78 31 36 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 .uimm4x16_in_0;...return.0;.}..s
70c60 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 tatic.int.OperandSem_opnd_sem_si
70c80 6d 6d 38 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e mm8_decode.(uint32.*valp).{...un
70ca0 73 69 67 6e 65 64 20 73 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 signed.simm8_out_0;...unsigned.s
70cc0 69 6d 6d 38 5f 69 6e 5f 30 3b 0a 20 20 73 69 6d 6d 38 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 imm8_in_0;...simm8_in_0.=.*valp.
70ce0 26 20 30 78 66 66 3b 0a 20 20 73 69 6d 6d 38 5f 6f 75 74 5f 30 20 3d 20 28 28 69 6e 74 29 20 73 &.0xff;...simm8_out_0.=.((int).s
70d00 69 6d 6d 38 5f 69 6e 5f 30 20 3c 3c 20 32 34 29 20 3e 3e 20 32 34 3b 0a 20 20 2a 76 61 6c 70 20 imm8_in_0.<<.24).>>.24;...*valp.
70d20 3d 20 73 69 6d 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 =.simm8_out_0;...return.0;.}..st
70d40 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d atic.int.OperandSem_opnd_sem_sim
70d60 6d 38 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 m8_encode.(uint32.*valp).{...uns
70d80 69 67 6e 65 64 20 73 69 6d 6d 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d igned.simm8_in_0;...unsigned.sim
70da0 6d 38 5f 6f 75 74 5f 30 3b 0a 20 20 73 69 6d 6d 38 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b m8_out_0;...simm8_out_0.=.*valp;
70dc0 0a 20 20 73 69 6d 6d 38 5f 69 6e 5f 30 20 3d 20 28 73 69 6d 6d 38 5f 6f 75 74 5f 30 20 26 20 30 ...simm8_in_0.=.(simm8_out_0.&.0
70de0 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 38 5f 69 6e 5f 30 3b 0a 20 20 72 65 xff);...*valp.=.simm8_in_0;...re
70e00 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
70e20 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 78 32 35 36 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 _opnd_sem_simm8x256_decode.(uint
70e40 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 38 78 32 35 36 32.*valp).{...unsigned.simm8x256
70e60 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 38 78 32 35 36 5f 69 6e 5f _out_0;...unsigned.simm8x256_in_
70e80 30 3b 0a 20 20 73 69 6d 6d 38 78 32 35 36 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 0;...simm8x256_in_0.=.*valp.&.0x
70ea0 66 66 3b 0a 20 20 73 69 6d 6d 38 78 32 35 36 5f 6f 75 74 5f 30 20 3d 20 28 28 28 69 6e 74 29 20 ff;...simm8x256_out_0.=.(((int).
70ec0 73 69 6d 6d 38 78 32 35 36 5f 69 6e 5f 30 20 3c 3c 20 32 34 29 20 3e 3e 20 32 34 29 20 3c 3c 20 simm8x256_in_0.<<.24).>>.24).<<.
70ee0 38 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 38 78 32 35 36 5f 6f 75 74 5f 30 3b 0a 20 20 8;...*valp.=.simm8x256_out_0;...
70f00 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 return.0;.}..static.int.OperandS
70f20 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 78 32 35 36 5f 65 6e 63 6f 64 65 20 28 75 69 em_opnd_sem_simm8x256_encode.(ui
70f40 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 38 78 32 nt32.*valp).{...unsigned.simm8x2
70f60 35 36 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 38 78 32 35 36 5f 6f 75 56_in_0;...unsigned.simm8x256_ou
70f80 74 5f 30 3b 0a 20 20 73 69 6d 6d 38 78 32 35 36 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a t_0;...simm8x256_out_0.=.*valp;.
70fa0 20 20 73 69 6d 6d 38 78 32 35 36 5f 69 6e 5f 30 20 3d 20 28 28 73 69 6d 6d 38 78 32 35 36 5f 6f ..simm8x256_in_0.=.((simm8x256_o
70fc0 75 74 5f 30 20 3e 3e 20 38 29 20 26 20 30 78 66 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 ut_0.>>.8).&.0xff);...*valp.=.si
70fe0 6d 6d 38 78 32 35 36 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 mm8x256_in_0;...return.0;.}..sta
71000 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d tic.int.OperandSem_opnd_sem_simm
71020 31 32 62 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 12b_decode.(uint32.*valp).{...un
71040 73 69 67 6e 65 64 20 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 signed.simm12b_out_0;...unsigned
71060 20 73 69 6d 6d 31 32 62 5f 69 6e 5f 30 3b 0a 20 20 73 69 6d 6d 31 32 62 5f 69 6e 5f 30 20 3d 20 .simm12b_in_0;...simm12b_in_0.=.
71080 2a 76 61 6c 70 20 26 20 30 78 66 66 66 3b 0a 20 20 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 20 3d *valp.&.0xfff;...simm12b_out_0.=
710a0 20 28 28 69 6e 74 29 20 73 69 6d 6d 31 32 62 5f 69 6e 5f 30 20 3c 3c 20 32 30 29 20 3e 3e 20 32 .((int).simm12b_in_0.<<.20).>>.2
710c0 30 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 0;...*valp.=.simm12b_out_0;...re
710e0 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
71100 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 31 32 62 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 _opnd_sem_simm12b_encode.(uint32
71120 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 31 32 62 5f 69 6e 5f .*valp).{...unsigned.simm12b_in_
71140 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 3b 0a 20 20 73 0;...unsigned.simm12b_out_0;...s
71160 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 69 6d 6d 31 32 62 5f imm12b_out_0.=.*valp;...simm12b_
71180 69 6e 5f 30 20 3d 20 28 73 69 6d 6d 31 32 62 5f 6f 75 74 5f 30 20 26 20 30 78 66 66 66 29 3b 0a in_0.=.(simm12b_out_0.&.0xfff);.
711a0 20 20 2a 76 61 6c 70 20 3d 20 73 69 6d 6d 31 32 62 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e ..*valp.=.simm12b_in_0;...return
711c0 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e .0;.}..static.int.OperandSem_opn
711e0 64 5f 73 65 6d 5f 6d 73 61 6c 70 33 32 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 d_sem_msalp32_decode.(uint32.*va
71200 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6d 73 61 6c 70 33 32 5f 6f 75 74 5f 30 3b 0a lp).{...unsigned.msalp32_out_0;.
71220 20 20 75 6e 73 69 67 6e 65 64 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 3b 0a 20 20 6d 73 61 6c 70 ..unsigned.msalp32_in_0;...msalp
71240 33 32 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 31 66 3b 0a 20 20 6d 73 61 6c 70 33 32_in_0.=.*valp.&.0x1f;...msalp3
71260 32 5f 6f 75 74 5f 30 20 3d 20 30 78 32 30 20 2d 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 3b 0a 20 2_out_0.=.0x20.-.msalp32_in_0;..
71280 20 2a 76 61 6c 70 20 3d 20 6d 73 61 6c 70 33 32 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e .*valp.=.msalp32_out_0;...return
712a0 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e .0;.}..static.int.OperandSem_opn
712c0 64 5f 73 65 6d 5f 6d 73 61 6c 70 33 32 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 d_sem_msalp32_encode.(uint32.*va
712e0 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 3b 0a 20 lp).{...unsigned.msalp32_in_0;..
71300 20 75 6e 73 69 67 6e 65 64 20 6d 73 61 6c 70 33 32 5f 6f 75 74 5f 30 3b 0a 20 20 6d 73 61 6c 70 .unsigned.msalp32_out_0;...msalp
71320 33 32 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 32_out_0.=.*valp;...msalp32_in_0
71340 20 3d 20 28 30 78 32 30 20 2d 20 6d 73 61 6c 70 33 32 5f 6f 75 74 5f 30 29 20 26 20 30 78 31 66 .=.(0x20.-.msalp32_out_0).&.0x1f
71360 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6d 73 61 6c 70 33 32 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 ;...*valp.=.msalp32_in_0;...retu
71380 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f rn.0;.}..static.int.OperandSem_o
713a0 70 6e 64 5f 73 65 6d 5f 6f 70 32 70 31 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 pnd_sem_op2p1_decode.(uint32.*va
713c0 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6f 70 32 70 31 5f 6f 75 74 5f 30 3b 0a 20 20 lp).{...unsigned.op2p1_out_0;...
713e0 75 6e 73 69 67 6e 65 64 20 6f 70 32 70 31 5f 69 6e 5f 30 3b 0a 20 20 6f 70 32 70 31 5f 69 6e 5f unsigned.op2p1_in_0;...op2p1_in_
71400 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 6f 70 32 70 31 5f 6f 75 74 5f 30 20 3d 0.=.*valp.&.0xf;...op2p1_out_0.=
71420 20 6f 70 32 70 31 5f 69 6e 5f 30 20 2b 20 30 78 31 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6f 70 32 .op2p1_in_0.+.0x1;...*valp.=.op2
71440 70 31 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 p1_out_0;...return.0;.}..static.
71460 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6f 70 32 70 31 5f 65 6e int.OperandSem_opnd_sem_op2p1_en
71480 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 code.(uint32.*valp).{...unsigned
714a0 20 6f 70 32 70 31 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6f 70 32 70 31 5f 6f 75 .op2p1_in_0;...unsigned.op2p1_ou
714c0 74 5f 30 3b 0a 20 20 6f 70 32 70 31 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 6f 70 t_0;...op2p1_out_0.=.*valp;...op
714e0 32 70 31 5f 69 6e 5f 30 20 3d 20 28 6f 70 32 70 31 5f 6f 75 74 5f 30 20 2d 20 30 78 31 29 20 26 2p1_in_0.=.(op2p1_out_0.-.0x1).&
71500 20 30 78 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6f 70 32 70 31 5f 69 6e 5f 30 3b 0a 20 20 72 65 .0xf;...*valp.=.op2p1_in_0;...re
71520 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
71540 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 38 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 _opnd_sem_label8_decode.(uint32.
71560 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 *valp).{...unsigned.label8_out_0
71580 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c 38 5f 69 6e 5f 30 3b 0a 20 20 6c 61 62 65 ;...unsigned.label8_in_0;...labe
715a0 6c 38 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 66 3b 0a 20 20 6c 61 62 65 6c 38 l8_in_0.=.*valp.&.0xff;...label8
715c0 5f 6f 75 74 5f 30 20 3d 20 30 78 34 20 2b 20 28 28 28 69 6e 74 29 20 6c 61 62 65 6c 38 5f 69 6e _out_0.=.0x4.+.(((int).label8_in
715e0 5f 30 20 3c 3c 20 32 34 29 20 3e 3e 20 32 34 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 61 62 65 _0.<<.24).>>.24);...*valp.=.labe
71600 6c 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 l8_out_0;...return.0;.}..static.
71620 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 38 5f 65 int.OperandSem_opnd_sem_label8_e
71640 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 ncode.(uint32.*valp).{...unsigne
71660 64 20 6c 61 62 65 6c 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c 38 d.label8_in_0;...unsigned.label8
71680 5f 6f 75 74 5f 30 3b 0a 20 20 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a _out_0;...label8_out_0.=.*valp;.
716a0 20 20 6c 61 62 65 6c 38 5f 69 6e 5f 30 20 3d 20 28 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 20 2d 20 ..label8_in_0.=.(label8_out_0.-.
716c0 30 78 34 29 20 26 20 30 78 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 61 62 65 6c 38 5f 69 6e 0x4).&.0xff;...*valp.=.label8_in
716e0 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
71700 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 6c 61 62 65 6c 38 5f 64 65 63 6f 64 65 erandSem_opnd_sem_ulabel8_decode
71720 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 6c 61 .(uint32.*valp).{...unsigned.ula
71740 62 65 6c 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 6c 61 62 65 6c 38 5f 69 bel8_out_0;...unsigned.ulabel8_i
71760 6e 5f 30 3b 0a 20 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 n_0;...ulabel8_in_0.=.*valp.&.0x
71780 66 66 3b 0a 20 20 75 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 20 3d 20 30 78 34 20 2b 20 28 28 28 30 ff;...ulabel8_out_0.=.0x4.+.(((0
717a0 29 20 3c 3c 20 38 29 20 7c 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 29 3b 0a 20 20 2a 76 61 6c 70 ).<<.8).|.ulabel8_in_0);...*valp
717c0 20 3d 20 75 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a .=.ulabel8_out_0;...return.0;.}.
717e0 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f .static.int.OperandSem_opnd_sem_
71800 75 6c 61 62 65 6c 38 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a ulabel8_encode.(uint32.*valp).{.
71820 20 20 75 6e 73 69 67 6e 65 64 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 ..unsigned.ulabel8_in_0;...unsig
71840 6e 65 64 20 75 6c 61 62 65 6c 38 5f 6f 75 74 5f 30 3b 0a 20 20 75 6c 61 62 65 6c 38 5f 6f 75 74 ned.ulabel8_out_0;...ulabel8_out
71860 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 20 3d 20 28 75 6c _0.=.*valp;...ulabel8_in_0.=.(ul
71880 61 62 65 6c 38 5f 6f 75 74 5f 30 20 2d 20 30 78 34 29 20 26 20 30 78 66 66 3b 0a 20 20 2a 76 61 abel8_out_0.-.0x4).&.0xff;...*va
718a0 6c 70 20 3d 20 75 6c 61 62 65 6c 38 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d lp.=.ulabel8_in_0;...return.0;.}
718c0 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d ..static.int.OperandSem_opnd_sem
718e0 5f 6c 61 62 65 6c 31 32 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b _label12_decode.(uint32.*valp).{
71900 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c 31 32 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 ...unsigned.label12_out_0;...uns
71920 69 67 6e 65 64 20 6c 61 62 65 6c 31 32 5f 69 6e 5f 30 3b 0a 20 20 6c 61 62 65 6c 31 32 5f 69 6e igned.label12_in_0;...label12_in
71940 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 66 66 3b 0a 20 20 6c 61 62 65 6c 31 32 5f 6f 75 _0.=.*valp.&.0xfff;...label12_ou
71960 74 5f 30 20 3d 20 30 78 34 20 2b 20 28 28 28 69 6e 74 29 20 6c 61 62 65 6c 31 32 5f 69 6e 5f 30 t_0.=.0x4.+.(((int).label12_in_0
71980 20 3c 3c 20 32 30 29 20 3e 3e 20 32 30 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 61 62 65 6c 31 .<<.20).>>.20);...*valp.=.label1
719a0 32 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 2_out_0;...return.0;.}..static.i
719c0 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 31 32 5f 65 nt.OperandSem_opnd_sem_label12_e
719e0 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 ncode.(uint32.*valp).{...unsigne
71a00 64 20 6c 61 62 65 6c 31 32 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 6c 61 62 65 6c d.label12_in_0;...unsigned.label
71a20 31 32 5f 6f 75 74 5f 30 3b 0a 20 20 6c 61 62 65 6c 31 32 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 12_out_0;...label12_out_0.=.*val
71a40 70 3b 0a 20 20 6c 61 62 65 6c 31 32 5f 69 6e 5f 30 20 3d 20 28 6c 61 62 65 6c 31 32 5f 6f 75 74 p;...label12_in_0.=.(label12_out
71a60 5f 30 20 2d 20 30 78 34 29 20 26 20 30 78 66 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 6c 61 62 _0.-.0x4).&.0xfff;...*valp.=.lab
71a80 65 6c 31 32 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 el12_in_0;...return.0;.}..static
71aa0 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 .int.OperandSem_opnd_sem_soffset
71ac0 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 _decode.(uint32.*valp).{...unsig
71ae0 6e 65 64 20 73 6f 66 66 73 65 74 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f ned.soffset_out_0;...unsigned.so
71b00 66 66 73 65 74 5f 69 6e 5f 30 3b 0a 20 20 73 6f 66 66 73 65 74 5f 69 6e 5f 30 20 3d 20 2a 76 61 ffset_in_0;...soffset_in_0.=.*va
71b20 6c 70 20 26 20 30 78 33 66 66 66 66 3b 0a 20 20 73 6f 66 66 73 65 74 5f 6f 75 74 5f 30 20 3d 20 lp.&.0x3ffff;...soffset_out_0.=.
71b40 30 78 34 20 2b 20 28 28 28 69 6e 74 29 20 73 6f 66 66 73 65 74 5f 69 6e 5f 30 20 3c 3c 20 31 34 0x4.+.(((int).soffset_in_0.<<.14
71b60 29 20 3e 3e 20 31 34 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 6f 66 66 73 65 74 5f 6f 75 74 5f ).>>.14);...*valp.=.soffset_out_
71b80 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 0;...return.0;.}..static.int.Ope
71ba0 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 5f 65 6e 63 6f 64 65 20 randSem_opnd_sem_soffset_encode.
71bc0 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 66 (uint32.*valp).{...unsigned.soff
71be0 73 65 74 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 6f 66 66 73 65 74 5f 6f 75 74 set_in_0;...unsigned.soffset_out
71c00 5f 30 3b 0a 20 20 73 6f 66 66 73 65 74 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 _0;...soffset_out_0.=.*valp;...s
71c20 6f 66 66 73 65 74 5f 69 6e 5f 30 20 3d 20 28 73 6f 66 66 73 65 74 5f 6f 75 74 5f 30 20 2d 20 30 offset_in_0.=.(soffset_out_0.-.0
71c40 78 34 29 20 26 20 30 78 33 66 66 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 6f 66 66 73 65 74 x4).&.0x3ffff;...*valp.=.soffset
71c60 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 _in_0;...return.0;.}..static.int
71c80 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 36 78 34 5f 64 65 .OperandSem_opnd_sem_uimm16x4_de
71ca0 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 code.(uint32.*valp).{...unsigned
71cc0 20 75 69 6d 6d 31 36 78 34 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d .uimm16x4_out_0;...unsigned.uimm
71ce0 31 36 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 69 6d 6d 31 36 78 34 5f 69 6e 5f 30 20 3d 20 2a 76 61 16x4_in_0;...uimm16x4_in_0.=.*va
71d00 6c 70 20 26 20 30 78 66 66 66 66 3b 0a 20 20 75 69 6d 6d 31 36 78 34 5f 6f 75 74 5f 30 20 3d 20 lp.&.0xffff;...uimm16x4_out_0.=.
71d20 28 28 28 30 78 66 66 66 66 29 20 3c 3c 20 31 36 29 20 7c 20 75 69 6d 6d 31 36 78 34 5f 69 6e 5f (((0xffff).<<.16).|.uimm16x4_in_
71d40 30 29 20 3c 3c 20 32 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d 6d 31 36 78 34 5f 6f 75 74 5f 0).<<.2;...*valp.=.uimm16x4_out_
71d60 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 0;...return.0;.}..static.int.Ope
71d80 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 36 78 34 5f 65 6e 63 6f 64 65 randSem_opnd_sem_uimm16x4_encode
71da0 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d .(uint32.*valp).{...unsigned.uim
71dc0 6d 31 36 78 34 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 75 69 6d 6d 31 36 78 34 5f m16x4_in_0;...unsigned.uimm16x4_
71de0 6f 75 74 5f 30 3b 0a 20 20 75 69 6d 6d 31 36 78 34 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b out_0;...uimm16x4_out_0.=.*valp;
71e00 0a 20 20 75 69 6d 6d 31 36 78 34 5f 69 6e 5f 30 20 3d 20 28 75 69 6d 6d 31 36 78 34 5f 6f 75 74 ...uimm16x4_in_0.=.(uimm16x4_out
71e20 5f 30 20 3e 3e 20 32 29 20 26 20 30 78 66 66 66 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 75 69 6d _0.>>.2).&.0xffff;...*valp.=.uim
71e40 6d 31 36 78 34 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 m16x4_in_0;...return.0;.}..stati
71e60 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 c.int.OperandSem_opnd_sem_bbi_de
71e80 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 code.(uint32.*valp).{...unsigned
71ea0 20 62 62 69 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 62 69 5f 69 6e 5f 30 3b .bbi_out_0;...unsigned.bbi_in_0;
71ec0 0a 20 20 62 62 69 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 31 66 3b 0a 20 20 62 62 ...bbi_in_0.=.*valp.&.0x1f;...bb
71ee0 69 5f 6f 75 74 5f 30 20 3d 20 28 30 20 3c 3c 20 35 29 20 7c 20 62 62 69 5f 69 6e 5f 30 3b 0a 20 i_out_0.=.(0.<<.5).|.bbi_in_0;..
71f00 20 2a 76 61 6c 70 20 3d 20 62 62 69 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a .*valp.=.bbi_out_0;...return.0;.
71f20 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 }..static.int.OperandSem_opnd_se
71f40 6d 5f 62 62 69 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 m_bbi_encode.(uint32.*valp).{...
71f60 75 6e 73 69 67 6e 65 64 20 62 62 69 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 62 62 unsigned.bbi_in_0;...unsigned.bb
71f80 69 5f 6f 75 74 5f 30 3b 0a 20 20 62 62 69 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 i_out_0;...bbi_out_0.=.*valp;...
71fa0 62 62 69 5f 69 6e 5f 30 20 3d 20 28 62 62 69 5f 6f 75 74 5f 30 20 26 20 30 78 31 66 29 3b 0a 20 bbi_in_0.=.(bbi_out_0.&.0x1f);..
71fc0 20 2a 76 61 6c 70 20 3d 20 62 62 69 5f 69 6e 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d .*valp.=.bbi_in_0;...return.0;.}
71fe0 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d ..static.int.OperandSem_opnd_sem
72000 5f 73 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 _s_decode.(uint32.*valp).{...uns
72020 69 67 6e 65 64 20 73 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 5f 69 6e 5f 30 igned.s_out_0;...unsigned.s_in_0
72040 3b 0a 20 20 73 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 73 5f 6f 75 ;...s_in_0.=.*valp.&.0xf;...s_ou
72060 74 5f 30 20 3d 20 28 30 20 3c 3c 20 34 29 20 7c 20 73 5f 69 6e 5f 30 3b 0a 20 20 2a 76 61 6c 70 t_0.=.(0.<<.4).|.s_in_0;...*valp
72080 20 3d 20 73 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 .=.s_out_0;...return.0;.}..stati
720a0 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 5f 65 6e 63 6f c.int.OperandSem_opnd_sem_s_enco
720c0 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 de.(uint32.*valp).{...unsigned.s
720e0 5f 69 6e 5f 30 3b 0a 20 20 75 6e 73 69 67 6e 65 64 20 73 5f 6f 75 74 5f 30 3b 0a 20 20 73 5f 6f _in_0;...unsigned.s_out_0;...s_o
72100 75 74 5f 30 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 73 5f 69 6e 5f 30 20 3d 20 28 73 5f 6f 75 74 5f ut_0.=.*valp;...s_in_0.=.(s_out_
72120 30 20 26 20 30 78 66 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 73 5f 69 6e 5f 30 3b 0a 20 20 72 65 0.&.0xf);...*valp.=.s_in_0;...re
72140 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d turn.0;.}..static.int.OperandSem
72160 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c _opnd_sem_MR_decode.(uint32.*val
72180 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 p.ATTRIBUTE_UNUSED).{...return.0
721a0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f ;.}..static.int.OperandSem_opnd_
721c0 73 65 6d 5f 4d 52 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 sem_MR_encode.(uint32.*valp).{..
721e0 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 .int.error;...error.=.(*valp.>=.
72200 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 4);...return.error;.}..static.in
72220 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 31 5f 64 65 63 6f 64 t.OperandSem_opnd_sem_MR_1_decod
72240 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 e.(uint32.*valp.ATTRIBUTE_UNUSED
72260 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 ).{...return.0;.}..static.int.Op
72280 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 31 5f 65 6e 63 6f 64 65 20 28 75 erandSem_opnd_sem_MR_1_encode.(u
722a0 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 int32.*valp).{...int.error;...er
722c0 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 ror.=.(*valp.>=.4);...return.err
722e0 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e or;.}..static.int.OperandSem_opn
72300 64 5f 73 65 6d 5f 4d 52 5f 32 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 d_sem_MR_2_decode.(uint32.*valp.
72320 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a ATTRIBUTE_UNUSED).{...return.0;.
72340 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 }..static.int.OperandSem_opnd_se
72360 6d 5f 4d 52 5f 32 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 m_MR_2_encode.(uint32.*valp).{..
72380 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 .int.error;...error.=.(*valp.>=.
723a0 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 4);...return.error;.}..static.in
723c0 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 33 5f 64 65 63 6f 64 t.OperandSem_opnd_sem_MR_3_decod
723e0 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 e.(uint32.*valp.ATTRIBUTE_UNUSED
72400 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 ).{...return.0;.}..static.int.Op
72420 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 33 5f 65 6e 63 6f 64 65 20 28 75 erandSem_opnd_sem_MR_3_encode.(u
72440 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 int32.*valp).{...int.error;...er
72460 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 ror.=.(*valp.>=.4);...return.err
72480 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e or;.}..static.int.OperandSem_opn
724a0 64 5f 73 65 6d 5f 4d 52 5f 34 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 d_sem_MR_4_decode.(uint32.*valp.
724c0 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a ATTRIBUTE_UNUSED).{...return.0;.
724e0 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 }..static.int.OperandSem_opnd_se
72500 6d 5f 4d 52 5f 34 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 m_MR_4_encode.(uint32.*valp).{..
72520 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 .int.error;...error.=.(*valp.>=.
72540 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 4);...return.error;.}..static.in
72560 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 35 5f 64 65 63 6f 64 t.OperandSem_opnd_sem_MR_5_decod
72580 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 20 41 54 54 52 49 42 55 54 45 5f 55 4e 55 53 45 44 e.(uint32.*valp.ATTRIBUTE_UNUSED
725a0 29 0a 7b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 ).{...return.0;.}..static.int.Op
725c0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 35 5f 65 6e 63 6f 64 65 20 28 75 erandSem_opnd_sem_MR_5_encode.(u
725e0 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 69 6e 74 20 65 72 72 6f 72 3b 0a 20 20 65 72 int32.*valp).{...int.error;...er
72600 72 6f 72 20 3d 20 28 2a 76 61 6c 70 20 3e 3d 20 34 29 3b 0a 20 20 72 65 74 75 72 6e 20 65 72 72 ror.=.(*valp.>=.4);...return.err
72620 6f 72 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e or;.}..static.int.OperandSem_opn
72640 64 5f 73 65 6d 5f 69 6d 6d 74 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 d_sem_immt_decode.(uint32.*valp)
72660 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 69 6d 6d 74 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 .{...unsigned.immt_out_0;...unsi
72680 67 6e 65 64 20 69 6d 6d 74 5f 69 6e 5f 30 3b 0a 20 20 69 6d 6d 74 5f 69 6e 5f 30 20 3d 20 2a 76 gned.immt_in_0;...immt_in_0.=.*v
726a0 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 69 6d 6d 74 5f 6f 75 74 5f 30 20 3d 20 69 6d 6d 74 5f 69 alp.&.0xf;...immt_out_0.=.immt_i
726c0 6e 5f 30 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 69 6d 6d 74 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 n_0;...*valp.=.immt_out_0;...ret
726e0 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f urn.0;.}..static.int.OperandSem_
72700 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 74 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 opnd_sem_immt_encode.(uint32.*va
72720 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 69 6d 6d 74 5f 69 6e 5f 30 3b 0a 20 20 75 6e lp).{...unsigned.immt_in_0;...un
72740 73 69 67 6e 65 64 20 69 6d 6d 74 5f 6f 75 74 5f 30 3b 0a 20 20 69 6d 6d 74 5f 6f 75 74 5f 30 20 signed.immt_out_0;...immt_out_0.
72760 3d 20 2a 76 61 6c 70 3b 0a 20 20 69 6d 6d 74 5f 69 6e 5f 30 20 3d 20 69 6d 6d 74 5f 6f 75 74 5f =.*valp;...immt_in_0.=.immt_out_
72780 30 20 26 20 30 78 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 69 6d 6d 74 5f 69 6e 5f 30 3b 0a 20 20 0.&.0xf;...*valp.=.immt_in_0;...
727a0 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 return.0;.}..static.int.OperandS
727c0 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 74 70 37 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a em_opnd_sem_tp7_decode.(uint32.*
727e0 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 70 37 5f 6f 75 74 5f 30 3b 0a 20 20 valp).{...unsigned.tp7_out_0;...
72800 75 6e 73 69 67 6e 65 64 20 74 70 37 5f 69 6e 5f 30 3b 0a 20 20 74 70 37 5f 69 6e 5f 30 20 3d 20 unsigned.tp7_in_0;...tp7_in_0.=.
72820 2a 76 61 6c 70 20 26 20 30 78 66 3b 0a 20 20 74 70 37 5f 6f 75 74 5f 30 20 3d 20 74 70 37 5f 69 *valp.&.0xf;...tp7_out_0.=.tp7_i
72840 6e 5f 30 20 2b 20 30 78 37 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 74 70 37 5f 6f 75 74 5f 30 3b 0a n_0.+.0x7;...*valp.=.tp7_out_0;.
72860 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e ..return.0;.}..static.int.Operan
72880 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 74 70 37 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 dSem_opnd_sem_tp7_encode.(uint32
728a0 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 6e 65 64 20 74 70 37 5f 69 6e 5f 30 3b 0a 20 .*valp).{...unsigned.tp7_in_0;..
728c0 20 75 6e 73 69 67 6e 65 64 20 74 70 37 5f 6f 75 74 5f 30 3b 0a 20 20 74 70 37 5f 6f 75 74 5f 30 .unsigned.tp7_out_0;...tp7_out_0
728e0 20 3d 20 2a 76 61 6c 70 3b 0a 20 20 74 70 37 5f 69 6e 5f 30 20 3d 20 28 74 70 37 5f 6f 75 74 5f .=.*valp;...tp7_in_0.=.(tp7_out_
72900 30 20 2d 20 30 78 37 29 20 26 20 30 78 66 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 74 70 37 5f 69 6e 0.-.0x7).&.0xf;...*valp.=.tp7_in
72920 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 _0;...return.0;.}..static.int.Op
72940 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c erandSem_opnd_sem_xt_wbr15_label
72960 5f 64 65 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 0a 20 20 75 6e 73 69 67 _decode.(uint32.*valp).{...unsig
72980 6e 65 64 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 3b 0a 20 20 75 6e 73 69 ned.xt_wbr15_label_out_0;...unsi
729a0 67 6e 65 64 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 3b 0a 20 20 78 74 5f 77 gned.xt_wbr15_label_in_0;...xt_w
729c0 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 20 3d 20 2a 76 61 6c 70 20 26 20 30 78 37 66 66 66 br15_label_in_0.=.*valp.&.0x7fff
729e0 3b 0a 20 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 20 3d 20 30 78 34 20 2b ;...xt_wbr15_label_out_0.=.0x4.+
72a00 20 28 28 28 69 6e 74 29 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 20 3c 3c 20 .(((int).xt_wbr15_label_in_0.<<.
72a20 31 37 29 20 3e 3e 20 31 37 29 3b 0a 20 20 2a 76 61 6c 70 20 3d 20 78 74 5f 77 62 72 31 35 5f 6c 17).>>.17);...*valp.=.xt_wbr15_l
72a40 61 62 65 6c 5f 6f 75 74 5f 30 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 abel_out_0;...return.0;.}..stati
72a60 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 78 74 5f 77 62 72 c.int.OperandSem_opnd_sem_xt_wbr
72a80 31 35 5f 6c 61 62 65 6c 5f 65 6e 63 6f 64 65 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 29 0a 7b 15_label_encode.(uint32.*valp).{
72aa0 0a 20 20 75 6e 73 69 67 6e 65 64 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 3b ...unsigned.xt_wbr15_label_in_0;
72ac0 0a 20 20 75 6e 73 69 67 6e 65 64 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 ...unsigned.xt_wbr15_label_out_0
72ae0 3b 0a 20 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 20 3d 20 2a 76 61 6c 70 ;...xt_wbr15_label_out_0.=.*valp
72b00 3b 0a 20 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 20 3d 20 28 78 74 5f 77 62 ;...xt_wbr15_label_in_0.=.(xt_wb
72b20 72 31 35 5f 6c 61 62 65 6c 5f 6f 75 74 5f 30 20 2d 20 30 78 34 29 20 26 20 30 78 37 66 66 66 3b r15_label_out_0.-.0x4).&.0x7fff;
72b40 0a 20 20 2a 76 61 6c 70 20 3d 20 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 69 6e 5f 30 3b 0a ...*valp.=.xt_wbr15_label_in_0;.
72b60 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e ..return.0;.}..static.int.Operan
72b80 64 5f 73 6f 66 66 73 65 74 78 34 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 d_soffsetx4_ator.(uint32.*valp,.
72ba0 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 28 70 63 20 26 20 7e 30 uint32.pc).{...*valp.-=.(pc.&.~0
72bc0 78 33 29 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f x3);...return.0;.}..static.int.O
72be0 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 78 34 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 perand_soffsetx4_rtoa.(uint32.*v
72c00 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 28 70 63 alp,.uint32.pc).{...*valp.+=.(pc
72c20 20 26 20 7e 30 78 33 29 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .&.~0x3);...return.0;.}..static.
72c40 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 36 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a int.Operand_uimm6_ator.(uint32.*
72c60 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 valp,.uint32.pc).{...*valp.-=.pc
72c80 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 ;...return.0;.}..static.int.Oper
72ca0 61 6e 64 5f 75 69 6d 6d 36 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 and_uimm6_rtoa.(uint32.*valp,.ui
72cc0 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a 20 20 72 65 74 75 nt32.pc).{...*valp.+=.pc;...retu
72ce0 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 6c 61 62 65 rn.0;.}..static.int.Operand_labe
72d00 6c 38 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 l8_ator.(uint32.*valp,.uint32.pc
72d20 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d ).{...*valp.-=.pc;...return.0;.}
72d40 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 6c 61 62 65 6c 38 5f 72 74 6f 61 ..static.int.Operand_label8_rtoa
72d60 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a .(uint32.*valp,.uint32.pc).{...*
72d80 76 61 6c 70 20 2b 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 valp.+=.pc;...return.0;.}..stati
72da0 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 75 6c 61 62 65 6c 38 5f 61 74 6f 72 20 28 75 69 6e 74 c.int.Operand_ulabel8_ator.(uint
72dc0 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 32.*valp,.uint32.pc).{...*valp.-
72de0 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a =.pc;...return.0;.}..static.int.
72e00 4f 70 65 72 61 6e 64 5f 75 6c 61 62 65 6c 38 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 Operand_ulabel8_rtoa.(uint32.*va
72e20 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a lp,.uint32.pc).{...*valp.+=.pc;.
72e40 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e ..return.0;.}..static.int.Operan
72e60 64 5f 6c 61 62 65 6c 31 32 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 d_label12_ator.(uint32.*valp,.ui
72e80 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 20 72 65 74 75 nt32.pc).{...*valp.-=.pc;...retu
72ea0 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 6c 61 62 65 rn.0;.}..static.int.Operand_labe
72ec0 6c 31 32 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 l12_rtoa.(uint32.*valp,.uint32.p
72ee0 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a c).{...*valp.+=.pc;...return.0;.
72f00 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 5f 61 74 }..static.int.Operand_soffset_at
72f20 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 or.(uint32.*valp,.uint32.pc).{..
72f40 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 .*valp.-=.pc;...return.0;.}..sta
72f60 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 5f 72 74 6f 61 20 28 75 69 tic.int.Operand_soffset_rtoa.(ui
72f80 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 nt32.*valp,.uint32.pc).{...*valp
72fa0 20 2b 3d 20 70 63 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e .+=.pc;...return.0;.}..static.in
72fc0 74 0a 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 31 36 78 34 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 t.Operand_uimm16x4_ator.(uint32.
72fe0 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 28 *valp,.uint32.pc).{...*valp.-=.(
73000 28 70 63 20 2b 20 33 29 20 26 20 7e 30 78 33 29 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a (pc.+.3).&.~0x3);...return.0;.}.
73020 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 31 36 78 34 5f 72 74 6f .static.int.Operand_uimm16x4_rto
73040 61 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 a.(uint32.*valp,.uint32.pc).{...
73060 2a 76 61 6c 70 20 2b 3d 20 28 28 70 63 20 2b 20 33 29 20 26 20 7e 30 78 33 29 3b 0a 20 20 72 65 *valp.+=.((pc.+.3).&.~0x3);...re
73080 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 78 74 turn.0;.}..static.int.Operand_xt
730a0 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 2c _wbr15_label_ator.(uint32.*valp,
730c0 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 20 72 .uint32.pc).{...*valp.-=.pc;...r
730e0 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f 78 eturn.0;.}..static.int.Operand_x
73100 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 6c 70 t_wbr15_label_rtoa.(uint32.*valp
73120 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a 20 20 ,.uint32.pc).{...*valp.+=.pc;...
73140 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 5f return.0;.}..static.int.Operand_
73160 78 74 5f 77 62 72 31 38 5f 6c 61 62 65 6c 5f 61 74 6f 72 20 28 75 69 6e 74 33 32 20 2a 76 61 6c xt_wbr18_label_ator.(uint32.*val
73180 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2d 3d 20 70 63 3b 0a 20 p,.uint32.pc).{...*valp.-=.pc;..
731a0 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 4f 70 65 72 61 6e 64 .return.0;.}..static.int.Operand
731c0 5f 78 74 5f 77 62 72 31 38 5f 6c 61 62 65 6c 5f 72 74 6f 61 20 28 75 69 6e 74 33 32 20 2a 76 61 _xt_wbr18_label_rtoa.(uint32.*va
731e0 6c 70 2c 20 75 69 6e 74 33 32 20 70 63 29 0a 7b 0a 20 20 2a 76 61 6c 70 20 2b 3d 20 70 63 3b 0a lp,.uint32.pc).{...*valp.+=.pc;.
73200 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 6f 70 65 ..return.0;.}..static.xtensa_ope
73220 72 61 6e 64 5f 69 6e 74 65 72 6e 61 6c 20 6f 70 65 72 61 6e 64 73 5b 5d 20 3d 20 7b 0a 20 20 7b rand_internal.operands[].=.{...{
73240 20 22 73 6f 66 66 73 65 74 78 34 22 2c 20 46 49 45 4c 44 5f 6f 66 66 73 65 74 2c 20 2d 31 2c 20 ."soffsetx4",.FIELD_offset,.-1,.
73260 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 0,.....XTENSA_OPERAND_IS_PCRELAT
73280 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 IVE,.....OperandSem_opnd_sem_sof
732a0 66 73 65 74 78 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 fsetx4_encode,.OperandSem_opnd_s
732c0 65 6d 5f 73 6f 66 66 73 65 74 78 34 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 em_soffsetx4_decode,.....Operand
732e0 5f 73 6f 66 66 73 65 74 78 34 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 _soffsetx4_ator,.Operand_soffset
73300 78 34 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 31 32 78 38 22 2c 20 46 49 45 4c 44 x4_rtoa.},...{."uimm12x8",.FIELD
73320 5f 69 6d 6d 31 32 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e _imm12,.-1,.0,.....0,.....Operan
73340 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 32 78 38 5f 65 6e 63 6f 64 65 2c 20 4f dSem_opnd_sem_uimm12x8_encode,.O
73360 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 32 78 38 5f 64 65 63 6f perandSem_opnd_sem_uimm12x8_deco
73380 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 6d 6d 34 22 2c 20 46 49 45 de,.....0,.0.},...{."simm4",.FIE
733a0 4c 44 5f 6d 6e 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 LD_mn,.-1,.0,.....0,.....Operand
733c0 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 Sem_opnd_sem_simm4_encode,.Opera
733e0 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 34 5f 64 65 63 6f 64 65 2c 0a 20 20 20 ndSem_opnd_sem_simm4_decode,....
73400 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 72 22 2c 20 46 49 45 4c 44 5f 72 2c 20 52 45 47 .0,.0.},...{."arr",.FIELD_r,.REG
73420 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 FILE_AR,.1,.....XTENSA_OPERAND_I
73440 53 5f 52 45 47 49 53 54 45 52 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f S_REGISTER,.....OperandSem_opnd_
73460 73 65 6d 5f 41 52 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 sem_AR_encode,.OperandSem_opnd_s
73480 65 6d 5f 41 52 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 em_AR_decode,.....0,.0.},...{."a
734a0 72 73 22 2c 20 46 49 45 4c 44 5f 73 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 rs",.FIELD_s,.REGFILE_AR,.1,....
734c0 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 2c 0a 20 20 20 .XTENSA_OPERAND_IS_REGISTER,....
734e0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 65 6e 63 6f 64 65 2c 20 .OperandSem_opnd_sem_AR_encode,.
73500 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 64 65 63 6f 64 65 2c 0a 20 OperandSem_opnd_sem_AR_decode,..
73520 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 2a 61 72 73 5f 69 6e 76 69 73 69 62 6c 65 22 2c ...0,.0.},...{."*ars_invisible",
73540 20 46 49 45 4c 44 5f 73 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 .FIELD_s,.REGFILE_AR,.1,.....XTE
73560 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 NSA_OPERAND_IS_REGISTER.|.XTENSA
73580 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 _OPERAND_IS_INVISIBLE,.....Opera
735a0 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e ndSem_opnd_sem_AR_encode,.Operan
735c0 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 dSem_opnd_sem_AR_decode,.....0,.
735e0 30 20 7d 2c 0a 20 20 7b 20 22 61 72 74 22 2c 20 46 49 45 4c 44 5f 74 2c 20 52 45 47 46 49 4c 45 0.},...{."art",.FIELD_t,.REGFILE
73600 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 _AR,.1,.....XTENSA_OPERAND_IS_RE
73620 47 49 53 54 45 52 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f GISTER,.....OperandSem_opnd_sem_
73640 41 52 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 AR_encode,.OperandSem_opnd_sem_A
73660 52 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 30 22 2c R_decode,.....0,.0.},...{."ar0",
73680 20 46 49 45 4c 44 5f 5f 61 72 30 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 .FIELD__ar0,.REGFILE_AR,.1,.....
736a0 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 XTENSA_OPERAND_IS_REGISTER.|.XTE
736c0 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 NSA_OPERAND_IS_INVISIBLE,.....Op
736e0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 30 5f 65 6e 63 6f 64 65 2c 20 4f erandSem_opnd_sem_AR_0_encode,.O
73700 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 30 5f 64 65 63 6f 64 65 2c 0a perandSem_opnd_sem_AR_0_decode,.
73720 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 34 22 2c 20 46 49 45 4c 44 5f 5f 61 72 ....0,.0.},...{."ar4",.FIELD__ar
73740 34 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 4,.REGFILE_AR,.1,.....XTENSA_OPE
73760 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e RAND_IS_REGISTER.|.XTENSA_OPERAN
73780 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f D_IS_INVISIBLE,.....OperandSem_o
737a0 70 6e 64 5f 73 65 6d 5f 41 52 5f 31 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f pnd_sem_AR_1_encode,.OperandSem_
737c0 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 31 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d opnd_sem_AR_1_decode,.....0,.0.}
737e0 2c 0a 20 20 7b 20 22 61 72 38 22 2c 20 46 49 45 4c 44 5f 5f 61 72 38 2c 20 52 45 47 46 49 4c 45 ,...{."ar8",.FIELD__ar8,.REGFILE
73800 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 _AR,.1,.....XTENSA_OPERAND_IS_RE
73820 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 GISTER.|.XTENSA_OPERAND_IS_INVIS
73840 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 IBLE,.....OperandSem_opnd_sem_AR
73860 5f 32 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 _2_encode,.OperandSem_opnd_sem_A
73880 52 5f 32 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 31 R_2_decode,.....0,.0.},...{."ar1
738a0 32 22 2c 20 46 49 45 4c 44 5f 5f 61 72 31 32 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 2",.FIELD__ar12,.REGFILE_AR,.1,.
738c0 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c ....XTENSA_OPERAND_IS_REGISTER.|
738e0 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 .XTENSA_OPERAND_IS_INVISIBLE,...
73900 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 33 5f 65 6e 63 6f 64 ..OperandSem_opnd_sem_AR_3_encod
73920 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 33 5f 64 65 63 6f e,.OperandSem_opnd_sem_AR_3_deco
73940 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 72 73 5f 65 6e 74 72 79 22 2c de,.....0,.0.},...{."ars_entry",
73960 20 46 49 45 4c 44 5f 73 2c 20 52 45 47 46 49 4c 45 5f 41 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 .FIELD_s,.REGFILE_AR,.1,.....XTE
73980 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 2c 0a 20 20 20 20 4f 70 65 NSA_OPERAND_IS_REGISTER,.....Ope
739a0 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 randSem_opnd_sem_AR_4_encode,.Op
739c0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 41 52 5f 34 5f 64 65 63 6f 64 65 2c 0a 20 erandSem_opnd_sem_AR_4_decode,..
739e0 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 72 78 34 22 2c 20 46 49 45 4c 44 5f 72 ...0,.0.},...{."immrx4",.FIELD_r
73a00 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f ,.-1,.0,.....0,.....OperandSem_o
73a20 70 6e 64 5f 73 65 6d 5f 69 6d 6d 72 78 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 pnd_sem_immrx4_encode,.OperandSe
73a40 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 72 78 34 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c m_opnd_sem_immrx4_decode,.....0,
73a60 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 73 69 34 78 34 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c .0.},...{."lsi4x4",.FIELD_r,.-1,
73a80 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 .0,.....0,.....OperandSem_opnd_s
73aa0 65 6d 5f 6c 73 69 34 78 34 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e em_lsi4x4_encode,.OperandSem_opn
73ac0 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c d_sem_lsi4x4_decode,.....0,.0.},
73ae0 0a 20 20 7b 20 22 73 69 6d 6d 37 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 37 2c 20 2d 31 2c 20 30 2c ...{."simm7",.FIELD_imm7,.-1,.0,
73b00 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f .....0,.....OperandSem_opnd_sem_
73b20 73 69 6d 6d 37 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 simm7_encode,.OperandSem_opnd_se
73b40 6d 5f 73 69 6d 6d 37 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 m_simm7_decode,.....0,.0.},...{.
73b60 22 75 69 6d 6d 36 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 36 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 "uimm6",.FIELD_imm6,.-1,.0,.....
73b80 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 XTENSA_OPERAND_IS_PCRELATIVE,...
73ba0 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 36 5f 65 6e 63 6f ..OperandSem_opnd_sem_uimm6_enco
73bc0 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 36 5f 64 65 de,.OperandSem_opnd_sem_uimm6_de
73be0 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 36 5f 61 74 6f 72 2c 20 4f 70 code,.....Operand_uimm6_ator,.Op
73c00 65 72 61 6e 64 5f 75 69 6d 6d 36 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 61 69 34 63 6f 6e 73 erand_uimm6_rtoa.},...{."ai4cons
73c20 74 22 2c 20 46 49 45 4c 44 5f 74 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t",.FIELD_t,.-1,.0,.....0,.....O
73c40 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 61 69 34 63 6f 6e 73 74 5f 65 6e 63 6f perandSem_opnd_sem_ai4const_enco
73c60 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 61 69 34 63 6f 6e 73 74 de,.OperandSem_opnd_sem_ai4const
73c80 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 34 63 6f 6e 73 _decode,.....0,.0.},...{."b4cons
73ca0 74 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t",.FIELD_r,.-1,.0,.....0,.....O
73cc0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 5f 65 6e 63 6f 64 perandSem_opnd_sem_b4const_encod
73ce0 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 5f 64 e,.OperandSem_opnd_sem_b4const_d
73d00 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 34 63 6f 6e 73 74 75 ecode,.....0,.0.},...{."b4constu
73d20 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 ",.FIELD_r,.-1,.0,.....0,.....Op
73d40 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 75 5f 65 6e 63 6f 64 erandSem_opnd_sem_b4constu_encod
73d60 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 34 63 6f 6e 73 74 75 5f e,.OperandSem_opnd_sem_b4constu_
73d80 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 38 22 2c decode,.....0,.0.},...{."uimm8",
73da0 20 46 49 45 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f .FIELD_imm8,.-1,.0,.....0,.....O
73dc0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 5f 65 6e 63 6f 64 65 2c perandSem_opnd_sem_uimm8_encode,
73de0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 5f 64 65 63 6f 64 .OperandSem_opnd_sem_uimm8_decod
73e00 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 38 78 32 22 2c 20 46 49 e,.....0,.0.},...{."uimm8x2",.FI
73e20 45 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 ELD_imm8,.-1,.0,.....0,.....Oper
73e40 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 32 5f 65 6e 63 6f 64 65 2c 20 andSem_opnd_sem_uimm8x2_encode,.
73e60 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 32 5f 64 65 63 6f OperandSem_opnd_sem_uimm8x2_deco
73e80 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 38 78 34 22 2c 20 46 de,.....0,.0.},...{."uimm8x4",.F
73ea0 49 45 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 IELD_imm8,.-1,.0,.....0,.....Ope
73ec0 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 34 5f 65 6e 63 6f 64 65 2c randSem_opnd_sem_uimm8x4_encode,
73ee0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 38 78 34 5f 64 65 63 .OperandSem_opnd_sem_uimm8x4_dec
73f00 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 34 78 31 36 22 2c ode,.....0,.0.},...{."uimm4x16",
73f20 20 46 49 45 4c 44 5f 6f 70 32 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 .FIELD_op2,.-1,.0,.....0,.....Op
73f40 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 34 78 31 36 5f 65 6e 63 6f 64 erandSem_opnd_sem_uimm4x16_encod
73f60 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 34 78 31 36 5f e,.OperandSem_opnd_sem_uimm4x16_
73f80 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 72 78 34 decode,.....0,.0.},...{."uimmrx4
73fa0 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 ",.FIELD_r,.-1,.0,.....0,.....Op
73fc0 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f 65 6e 63 6f 64 65 2c erandSem_opnd_sem_lsi4x4_encode,
73fe0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 73 69 34 78 34 5f 64 65 63 6f .OperandSem_opnd_sem_lsi4x4_deco
74000 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 6d 6d 38 22 2c 20 46 49 45 de,.....0,.0.},...{."simm8",.FIE
74020 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 LD_imm8,.-1,.0,.....0,.....Opera
74040 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 ndSem_opnd_sem_simm8_encode,.Ope
74060 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 5f 64 65 63 6f 64 65 2c 0a 20 randSem_opnd_sem_simm8_decode,..
74080 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 6d 6d 38 78 32 35 36 22 2c 20 46 49 45 4c ...0,.0.},...{."simm8x256",.FIEL
740a0 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e D_imm8,.-1,.0,.....0,.....Operan
740c0 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 78 32 35 36 5f 65 6e 63 6f 64 65 2c 20 dSem_opnd_sem_simm8x256_encode,.
740e0 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 38 78 32 35 36 5f 64 65 OperandSem_opnd_sem_simm8x256_de
74100 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 6d 6d 31 32 62 22 2c code,.....0,.0.},...{."simm12b",
74120 20 46 49 45 4c 44 5f 69 6d 6d 31 32 62 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 .FIELD_imm12b,.-1,.0,.....0,....
74140 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 31 32 62 5f 65 6e 63 .OperandSem_opnd_sem_simm12b_enc
74160 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 69 6d 6d 31 32 62 ode,.OperandSem_opnd_sem_simm12b
74180 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 73 61 6c 70 33 _decode,.....0,.0.},...{."msalp3
741a0 32 22 2c 20 46 49 45 4c 44 5f 73 61 6c 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 2",.FIELD_sal,.-1,.0,.....0,....
741c0 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6d 73 61 6c 70 33 32 5f 65 6e 63 .OperandSem_opnd_sem_msalp32_enc
741e0 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6d 73 61 6c 70 33 32 ode,.OperandSem_opnd_sem_msalp32
74200 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6f 70 32 70 31 22 _decode,.....0,.0.},...{."op2p1"
74220 2c 20 46 49 45 4c 44 5f 6f 70 32 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f ,.FIELD_op2,.-1,.0,.....0,.....O
74240 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6f 70 32 70 31 5f 65 6e 63 6f 64 65 2c perandSem_opnd_sem_op2p1_encode,
74260 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6f 70 32 70 31 5f 64 65 63 6f 64 .OperandSem_opnd_sem_op2p1_decod
74280 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 61 62 65 6c 38 22 2c 20 46 49 45 e,.....0,.0.},...{."label8",.FIE
742a0 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 LD_imm8,.-1,.0,.....XTENSA_OPERA
742c0 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d ND_IS_PCRELATIVE,.....OperandSem
742e0 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 38 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 _opnd_sem_label8_encode,.Operand
74300 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 38 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 Sem_opnd_sem_label8_decode,.....
74320 4f 70 65 72 61 6e 64 5f 6c 61 62 65 6c 38 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 6c 61 62 Operand_label8_ator,.Operand_lab
74340 65 6c 38 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 75 6c 61 62 65 6c 38 22 2c 20 46 49 45 4c 44 el8_rtoa.},...{."ulabel8",.FIELD
74360 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 _imm8,.-1,.0,.....XTENSA_OPERAND
74380 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f _IS_PCRELATIVE,.....OperandSem_o
743a0 70 6e 64 5f 73 65 6d 5f 75 6c 61 62 65 6c 38 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 pnd_sem_ulabel8_encode,.OperandS
743c0 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 6c 61 62 65 6c 38 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 em_opnd_sem_ulabel8_decode,.....
743e0 4f 70 65 72 61 6e 64 5f 75 6c 61 62 65 6c 38 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 75 6c Operand_ulabel8_ator,.Operand_ul
74400 61 62 65 6c 38 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 6c 61 62 65 6c 31 32 22 2c 20 46 49 45 abel8_rtoa.},...{."label12",.FIE
74420 4c 44 5f 69 6d 6d 31 32 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 LD_imm12,.-1,.0,.....XTENSA_OPER
74440 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 AND_IS_PCRELATIVE,.....OperandSe
74460 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 31 32 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 m_opnd_sem_label12_encode,.Opera
74480 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 6c 61 62 65 6c 31 32 5f 64 65 63 6f 64 65 2c 0a 20 ndSem_opnd_sem_label12_decode,..
744a0 20 20 20 4f 70 65 72 61 6e 64 5f 6c 61 62 65 6c 31 32 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 ...Operand_label12_ator,.Operand
744c0 5f 6c 61 62 65 6c 31 32 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 73 6f 66 66 73 65 74 22 2c 20 _label12_rtoa.},...{."soffset",.
744e0 46 49 45 4c 44 5f 6f 66 66 73 65 74 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f FIELD_offset,.-1,.0,.....XTENSA_
74500 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 OPERAND_IS_PCRELATIVE,.....Opera
74520 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 5f 65 6e 63 6f 64 65 2c 20 4f ndSem_opnd_sem_soffset_encode,.O
74540 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 5f 64 65 63 6f 64 perandSem_opnd_sem_soffset_decod
74560 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 73 6f 66 66 73 65 74 5f 61 74 6f 72 2c 20 4f 70 65 e,.....Operand_soffset_ator,.Ope
74580 72 61 6e 64 5f 73 6f 66 66 73 65 74 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 75 69 6d 6d 31 36 rand_soffset_rtoa.},...{."uimm16
745a0 78 34 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 31 36 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 x4",.FIELD_imm16,.-1,.0,.....XTE
745c0 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f NSA_OPERAND_IS_PCRELATIVE,.....O
745e0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 36 78 34 5f 65 6e 63 6f perandSem_opnd_sem_uimm16x4_enco
74600 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 75 69 6d 6d 31 36 78 34 de,.OperandSem_opnd_sem_uimm16x4
74620 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 31 36 78 34 5f 61 74 _decode,.....Operand_uimm16x4_at
74640 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 75 69 6d 6d 31 36 78 34 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b or,.Operand_uimm16x4_rtoa.},...{
74660 20 22 62 62 69 22 2c 20 46 49 45 4c 44 5f 62 62 69 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c ."bbi",.FIELD_bbi,.-1,.0,.....0,
74680 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 65 6e 63 .....OperandSem_opnd_sem_bbi_enc
746a0 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 63 ode,.OperandSem_opnd_sem_bbi_dec
746c0 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 65 22 2c 20 46 49 45 4c ode,.....0,.0.},...{."sae",.FIEL
746e0 44 5f 73 61 65 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 D_sae,.-1,.0,.....0,.....Operand
74700 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 Sem_opnd_sem_bbi_encode,.Operand
74720 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 Sem_opnd_sem_bbi_decode,.....0,.
74740 30 20 7d 2c 0a 20 20 7b 20 22 73 61 73 22 2c 20 46 49 45 4c 44 5f 73 61 73 2c 20 2d 31 2c 20 30 0.},...{."sas",.FIELD_sas,.-1,.0
74760 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d ,.....0,.....OperandSem_opnd_sem
74780 5f 62 62 69 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d _bbi_encode,.OperandSem_opnd_sem
747a0 5f 62 62 69 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 _bbi_decode,.....0,.0.},...{."sa
747c0 72 67 74 22 2c 20 46 49 45 4c 44 5f 73 61 72 67 74 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c rgt",.FIELD_sargt,.-1,.0,.....0,
747e0 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 65 6e 63 .....OperandSem_opnd_sem_bbi_enc
74800 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 63 ode,.OperandSem_opnd_sem_bbi_dec
74820 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 22 2c 20 46 49 45 4c 44 5f ode,.....0,.0.},...{."s",.FIELD_
74840 73 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f s,.-1,.0,.....0,.....OperandSem_
74860 6f 70 6e 64 5f 73 65 6d 5f 73 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 opnd_sem_s_encode,.OperandSem_op
74880 6e 64 5f 73 65 6d 5f 73 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b nd_sem_s_decode,.....0,.0.},...{
748a0 20 22 6d 78 22 2c 20 46 49 45 4c 44 5f 78 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 ."mx",.FIELD_x,.REGFILE_MR,.1,..
748c0 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 ...XTENSA_OPERAND_IS_REGISTER.|.
748e0 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 55 4e 4b 4e 4f 57 4e 2c 0a 20 20 20 20 4f XTENSA_OPERAND_IS_UNKNOWN,.....O
74900 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 65 6e 63 6f 64 65 2c 20 4f 70 perandSem_opnd_sem_MR_encode,.Op
74920 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 64 65 63 6f 64 65 2c 0a 20 20 20 erandSem_opnd_sem_MR_decode,....
74940 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 79 22 2c 20 46 49 45 4c 44 5f 79 2c 20 52 45 47 46 .0,.0.},...{."my",.FIELD_y,.REGF
74960 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 ILE_MR,.1,.....XTENSA_OPERAND_IS
74980 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 55 4e _REGISTER.|.XTENSA_OPERAND_IS_UN
749a0 4b 4e 4f 57 4e 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d KNOWN,.....OperandSem_opnd_sem_M
749c0 52 5f 30 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f R_0_encode,.OperandSem_opnd_sem_
749e0 4d 52 5f 30 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 77 MR_0_decode,.....0,.0.},...{."mw
74a00 22 2c 20 46 49 45 4c 44 5f 77 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 ",.FIELD_w,.REGFILE_MR,.1,.....X
74a20 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 2c 0a 20 20 20 20 4f TENSA_OPERAND_IS_REGISTER,.....O
74a40 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 31 5f 65 6e 63 6f 64 65 2c 20 perandSem_opnd_sem_MR_1_encode,.
74a60 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 31 5f 64 65 63 6f 64 65 2c OperandSem_opnd_sem_MR_1_decode,
74a80 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 72 30 22 2c 20 46 49 45 4c 44 5f 5f 6d .....0,.0.},...{."mr0",.FIELD__m
74aa0 72 30 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 r0,.REGFILE_MR,.1,.....XTENSA_OP
74ac0 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 ERAND_IS_REGISTER.|.XTENSA_OPERA
74ae0 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f ND_IS_INVISIBLE,.....OperandSem_
74b00 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 32 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d opnd_sem_MR_2_encode,.OperandSem
74b20 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 32 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 _opnd_sem_MR_2_decode,.....0,.0.
74b40 7d 2c 0a 20 20 7b 20 22 6d 72 31 22 2c 20 46 49 45 4c 44 5f 5f 6d 72 31 2c 20 52 45 47 46 49 4c },...{."mr1",.FIELD__mr1,.REGFIL
74b60 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 E_MR,.1,.....XTENSA_OPERAND_IS_R
74b80 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 EGISTER.|.XTENSA_OPERAND_IS_INVI
74ba0 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d SIBLE,.....OperandSem_opnd_sem_M
74bc0 52 5f 33 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f R_3_encode,.OperandSem_opnd_sem_
74be0 4d 52 5f 33 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 72 MR_3_decode,.....0,.0.},...{."mr
74c00 32 22 2c 20 46 49 45 4c 44 5f 5f 6d 72 32 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 2",.FIELD__mr2,.REGFILE_MR,.1,..
74c20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 ...XTENSA_OPERAND_IS_REGISTER.|.
74c40 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 XTENSA_OPERAND_IS_INVISIBLE,....
74c60 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 34 5f 65 6e 63 6f 64 65 .OperandSem_opnd_sem_MR_4_encode
74c80 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 34 5f 64 65 63 6f 64 ,.OperandSem_opnd_sem_MR_4_decod
74ca0 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 72 33 22 2c 20 46 49 45 4c 44 5f e,.....0,.0.},...{."mr3",.FIELD_
74cc0 5f 6d 72 33 2c 20 52 45 47 46 49 4c 45 5f 4d 52 2c 20 31 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f _mr3,.REGFILE_MR,.1,.....XTENSA_
74ce0 4f 50 45 52 41 4e 44 5f 49 53 5f 52 45 47 49 53 54 45 52 20 7c 20 58 54 45 4e 53 41 5f 4f 50 45 OPERAND_IS_REGISTER.|.XTENSA_OPE
74d00 52 41 4e 44 5f 49 53 5f 49 4e 56 49 53 49 42 4c 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 RAND_IS_INVISIBLE,.....OperandSe
74d20 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 35 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 m_opnd_sem_MR_5_encode,.OperandS
74d40 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 4d 52 5f 35 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 em_opnd_sem_MR_5_decode,.....0,.
74d60 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 74 22 2c 20 46 49 45 4c 44 5f 74 2c 20 2d 31 2c 20 30 2c 0.},...{."immt",.FIELD_t,.-1,.0,
74d80 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f .....0,.....OperandSem_opnd_sem_
74da0 69 6d 6d 74 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d immt_encode,.OperandSem_opnd_sem
74dc0 5f 69 6d 6d 74 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 _immt_decode,.....0,.0.},...{."i
74de0 6d 6d 73 22 2c 20 46 49 45 4c 44 5f 73 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 mms",.FIELD_s,.-1,.0,.....0,....
74e00 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 74 5f 65 6e 63 6f 64 65 .OperandSem_opnd_sem_immt_encode
74e20 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 69 6d 6d 74 5f 64 65 63 6f 64 ,.OperandSem_opnd_sem_immt_decod
74e40 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 74 70 37 22 2c 20 46 49 45 4c 44 5f e,.....0,.0.},...{."tp7",.FIELD_
74e60 74 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f t,.-1,.0,.....0,.....OperandSem_
74e80 6f 70 6e 64 5f 73 65 6d 5f 74 70 37 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f opnd_sem_tp7_encode,.OperandSem_
74ea0 6f 70 6e 64 5f 73 65 6d 5f 74 70 37 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 30 2c 20 30 20 7d 2c opnd_sem_tp7_decode,.....0,.0.},
74ec0 0a 20 20 7b 20 22 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 22 2c 20 46 49 45 4c 44 5f 78 74 5f ...{."xt_wbr15_label",.FIELD_xt_
74ee0 77 62 72 31 35 5f 69 6d 6d 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 wbr15_imm,.-1,.0,.....XTENSA_OPE
74f00 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 RAND_IS_PCRELATIVE,.....OperandS
74f20 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 5f 65 6e 63 6f 64 em_opnd_sem_xt_wbr15_label_encod
74f40 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 78 74 5f 77 62 72 31 35 5f e,.OperandSem_opnd_sem_xt_wbr15_
74f60 6c 61 62 65 6c 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 78 74 5f 77 62 72 label_decode,.....Operand_xt_wbr
74f80 31 35 5f 6c 61 62 65 6c 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 78 74 5f 77 62 72 31 35 5f 15_label_ator,.Operand_xt_wbr15_
74fa0 6c 61 62 65 6c 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 78 74 5f 77 62 72 31 38 5f 6c 61 62 65 label_rtoa.},...{."xt_wbr18_labe
74fc0 6c 22 2c 20 46 49 45 4c 44 5f 78 74 5f 77 62 72 31 38 5f 69 6d 6d 2c 20 2d 31 2c 20 30 2c 0a 20 l",.FIELD_xt_wbr18_imm,.-1,.0,..
74fe0 20 20 20 58 54 45 4e 53 41 5f 4f 50 45 52 41 4e 44 5f 49 53 5f 50 43 52 45 4c 41 54 49 56 45 2c ...XTENSA_OPERAND_IS_PCRELATIVE,
75000 0a 20 20 20 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 66 73 65 74 .....OperandSem_opnd_sem_soffset
75020 5f 65 6e 63 6f 64 65 2c 20 4f 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 73 6f 66 _encode,.OperandSem_opnd_sem_sof
75040 66 73 65 74 5f 64 65 63 6f 64 65 2c 0a 20 20 20 20 4f 70 65 72 61 6e 64 5f 78 74 5f 77 62 72 31 fset_decode,.....Operand_xt_wbr1
75060 38 5f 6c 61 62 65 6c 5f 61 74 6f 72 2c 20 4f 70 65 72 61 6e 64 5f 78 74 5f 77 62 72 31 38 5f 6c 8_label_ator,.Operand_xt_wbr18_l
75080 61 62 65 6c 5f 72 74 6f 61 20 7d 2c 0a 20 20 7b 20 22 62 69 74 69 6e 64 65 78 22 2c 20 46 49 45 abel_rtoa.},...{."bitindex",.FIE
750a0 4c 44 5f 62 69 74 69 6e 64 65 78 2c 20 2d 31 2c 20 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f LD_bitindex,.-1,.0,.....0,.....O
750c0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 65 6e 63 6f 64 65 2c 20 4f perandSem_opnd_sem_bbi_encode,.O
750e0 70 65 72 61 6e 64 53 65 6d 5f 6f 70 6e 64 5f 73 65 6d 5f 62 62 69 5f 64 65 63 6f 64 65 2c 0a 20 perandSem_opnd_sem_bbi_decode,..
75100 20 20 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 74 22 2c 20 46 49 45 4c 44 5f 74 2c 20 2d 31 2c ...0,.0.},...{."t",.FIELD_t,.-1,
75120 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 69 34 22 2c .0,.0,.0,.0,.0,.0.},...{."bbi4",
75140 20 46 49 45 4c 44 5f 62 62 69 34 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 .FIELD_bbi4,.-1,.0,.0,.0,.0,.0,.
75160 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 31 32 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 31 32 2c 20 2d 0.},...{."imm12",.FIELD_imm12,.-
75180 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 38 1,.0,.0,.0,.0,.0,.0.},...{."imm8
751a0 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 38 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 ",.FIELD_imm8,.-1,.0,.0,.0,.0,.0
751c0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 31 32 62 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 31 32 ,.0.},...{."imm12b",.FIELD_imm12
751e0 62 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 b,.-1,.0,.0,.0,.0,.0,.0.},...{."
75200 69 6d 6d 31 36 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 31 36 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 imm16",.FIELD_imm16,.-1,.0,.0,.0
75220 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 22 2c 20 46 49 45 4c 44 5f 6d 2c 20 2d ,.0,.0,.0.},...{."m",.FIELD_m,.-
75240 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6e 22 2c 20 1,.0,.0,.0,.0,.0,.0.},...{."n",.
75260 46 49 45 4c 44 5f 6e 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c FIELD_n,.-1,.0,.0,.0,.0,.0,.0.},
75280 0a 20 20 7b 20 22 6f 66 66 73 65 74 22 2c 20 46 49 45 4c 44 5f 6f 66 66 73 65 74 2c 20 2d 31 2c ...{."offset",.FIELD_offset,.-1,
752a0 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6f 70 30 22 2c 20 .0,.0,.0,.0,.0,.0.},...{."op0",.
752c0 46 49 45 4c 44 5f 6f 70 30 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 FIELD_op0,.-1,.0,.0,.0,.0,.0,.0.
752e0 7d 2c 0a 20 20 7b 20 22 6f 70 31 22 2c 20 46 49 45 4c 44 5f 6f 70 31 2c 20 2d 31 2c 20 30 2c 20 },...{."op1",.FIELD_op1,.-1,.0,.
75300 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6f 70 32 22 2c 20 46 49 45 4c 0,.0,.0,.0,.0.},...{."op2",.FIEL
75320 44 5f 6f 70 32 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 D_op2,.-1,.0,.0,.0,.0,.0,.0.},..
75340 20 7b 20 22 72 22 2c 20 46 49 45 4c 44 5f 72 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c .{."r",.FIELD_r,.-1,.0,.0,.0,.0,
75360 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 34 22 2c 20 46 49 45 4c 44 5f 73 61 34 2c 20 2d .0,.0.},...{."sa4",.FIELD_sa4,.-
75380 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 65 34 1,.0,.0,.0,.0,.0,.0.},...{."sae4
753a0 22 2c 20 46 49 45 4c 44 5f 73 61 65 34 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 ",.FIELD_sae4,.-1,.0,.0,.0,.0,.0
753c0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 6c 22 2c 20 46 49 45 4c 44 5f 73 61 6c 2c 20 2d 31 2c ,.0.},...{."sal",.FIELD_sal,.-1,
753e0 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 61 73 34 22 2c .0,.0,.0,.0,.0,.0.},...{."sas4",
75400 20 46 49 45 4c 44 5f 73 61 73 34 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 .FIELD_sas4,.-1,.0,.0,.0,.0,.0,.
75420 30 20 7d 2c 0a 20 20 7b 20 22 73 72 22 2c 20 46 49 45 4c 44 5f 73 72 2c 20 2d 31 2c 20 30 2c 20 0.},...{."sr",.FIELD_sr,.-1,.0,.
75440 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 74 22 2c 20 46 49 45 4c 44 0,.0,.0,.0,.0.},...{."st",.FIELD
75460 5f 73 74 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _st,.-1,.0,.0,.0,.0,.0,.0.},...{
75480 20 22 74 68 69 33 22 2c 20 46 49 45 4c 44 5f 74 68 69 33 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 ."thi3",.FIELD_thi3,.-1,.0,.0,.0
754a0 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 34 22 2c 20 46 49 45 4c 44 5f 69 ,.0,.0,.0.},...{."imm4",.FIELD_i
754c0 6d 6d 34 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b mm4,.-1,.0,.0,.0,.0,.0,.0.},...{
754e0 20 22 6d 6e 22 2c 20 46 49 45 4c 44 5f 6d 6e 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c ."mn",.FIELD_mn,.-1,.0,.0,.0,.0,
75500 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 22 2c 20 46 49 45 4c 44 5f 69 2c 20 2d 31 2c 20 30 .0,.0.},...{."i",.FIELD_i,.-1,.0
75520 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 36 6c 6f 22 2c ,.0,.0,.0,.0,.0.},...{."imm6lo",
75540 20 46 49 45 4c 44 5f 69 6d 6d 36 6c 6f 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 .FIELD_imm6lo,.-1,.0,.0,.0,.0,.0
75560 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 36 68 69 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 36 68 ,.0.},...{."imm6hi",.FIELD_imm6h
75580 69 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 i,.-1,.0,.0,.0,.0,.0,.0.},...{."
755a0 69 6d 6d 37 6c 6f 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 37 6c 6f 2c 20 2d 31 2c 20 30 2c 20 30 2c imm7lo",.FIELD_imm7lo,.-1,.0,.0,
755c0 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 37 68 69 22 2c 20 46 49 45 .0,.0,.0,.0.},...{."imm7hi",.FIE
755e0 4c 44 5f 69 6d 6d 37 68 69 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 LD_imm7hi,.-1,.0,.0,.0,.0,.0,.0.
75600 7d 2c 0a 20 20 7b 20 22 7a 22 2c 20 46 49 45 4c 44 5f 7a 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 },...{."z",.FIELD_z,.-1,.0,.0,.0
75620 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6d 6d 36 22 2c 20 46 49 45 4c 44 5f 69 ,.0,.0,.0.},...{."imm6",.FIELD_i
75640 6d 6d 36 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b mm6,.-1,.0,.0,.0,.0,.0,.0.},...{
75660 20 22 69 6d 6d 37 22 2c 20 46 49 45 4c 44 5f 69 6d 6d 37 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 ."imm7",.FIELD_imm7,.-1,.0,.0,.0
75680 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 33 22 2c 20 46 49 45 4c 44 5f 72 33 2c ,.0,.0,.0.},...{."r3",.FIELD_r3,
756a0 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 62 .-1,.0,.0,.0,.0,.0,.0.},...{."rb
756c0 69 74 32 22 2c 20 46 49 45 4c 44 5f 72 62 69 74 32 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 it2",.FIELD_rbit2,.-1,.0,.0,.0,.
756e0 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 68 69 22 2c 20 46 49 45 4c 44 5f 72 68 69 2c 0,.0,.0.},...{."rhi",.FIELD_rhi,
75700 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 74 33 .-1,.0,.0,.0,.0,.0,.0.},...{."t3
75720 22 2c 20 46 49 45 4c 44 5f 74 33 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 ",.FIELD_t3,.-1,.0,.0,.0,.0,.0,.
75740 30 20 7d 2c 0a 20 20 7b 20 22 74 62 69 74 32 22 2c 20 46 49 45 4c 44 5f 74 62 69 74 32 2c 20 2d 0.},...{."tbit2",.FIELD_tbit2,.-
75760 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 74 6c 6f 22 1,.0,.0,.0,.0,.0,.0.},...{."tlo"
75780 2c 20 46 49 45 4c 44 5f 74 6c 6f 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 ,.FIELD_tlo,.-1,.0,.0,.0,.0,.0,.
757a0 30 20 7d 2c 0a 20 20 7b 20 22 77 22 2c 20 46 49 45 4c 44 5f 77 2c 20 2d 31 2c 20 30 2c 20 30 2c 0.},...{."w",.FIELD_w,.-1,.0,.0,
757c0 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 79 22 2c 20 46 49 45 4c 44 5f 79 2c .0,.0,.0,.0.},...{."y",.FIELD_y,
757e0 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 22 .-1,.0,.0,.0,.0,.0,.0.},...{."x"
75800 2c 20 46 49 45 4c 44 5f 78 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 ,.FIELD_x,.-1,.0,.0,.0,.0,.0,.0.
75820 7d 2c 0a 20 20 7b 20 22 78 74 5f 77 62 72 31 35 5f 69 6d 6d 22 2c 20 46 49 45 4c 44 5f 78 74 5f },...{."xt_wbr15_imm",.FIELD_xt_
75840 77 62 72 31 35 5f 69 6d 6d 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 wbr15_imm,.-1,.0,.0,.0,.0,.0,.0.
75860 7d 2c 0a 20 20 7b 20 22 78 74 5f 77 62 72 31 38 5f 69 6d 6d 22 2c 20 46 49 45 4c 44 5f 78 74 5f },...{."xt_wbr18_imm",.FIELD_xt_
75880 77 62 72 31 38 5f 69 6d 6d 2c 20 2d 31 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 wbr18_imm,.-1,.0,.0,.0,.0,.0,.0.
758a0 7d 2c 0a 20 20 7b 20 22 73 33 74 6f 31 22 2c 20 46 49 45 4c 44 5f 73 33 74 6f 31 2c 20 2d 31 2c },...{."s3to1",.FIELD_s3to1,.-1,
758c0 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 0a 7d 3b 0a 0a 65 6e 75 6d 20 78 74 65 .0,.0,.0,.0,.0,.0.}.};..enum.xte
758e0 6e 73 61 5f 6f 70 65 72 61 6e 64 5f 69 64 20 7b 0a 20 20 4f 50 45 52 41 4e 44 5f 73 6f 66 66 73 nsa_operand_id.{...OPERAND_soffs
75900 65 74 78 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 31 32 78 38 2c 0a 20 20 4f 50 45 52 etx4,...OPERAND_uimm12x8,...OPER
75920 41 4e 44 5f 73 69 6d 6d 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 72 2c 0a 20 20 4f 50 45 52 AND_simm4,...OPERAND_arr,...OPER
75940 41 4e 44 5f 61 72 73 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 5f 61 72 73 5f 69 6e 76 69 73 69 62 6c AND_ars,...OPERAND__ars_invisibl
75960 65 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 30 2c e,...OPERAND_art,...OPERAND_ar0,
75980 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 38 2c 0a 20 ...OPERAND_ar4,...OPERAND_ar8,..
759a0 20 4f 50 45 52 41 4e 44 5f 61 72 31 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 72 73 5f 65 6e 74 .OPERAND_ar12,...OPERAND_ars_ent
759c0 72 79 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 72 78 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f ry,...OPERAND_immrx4,...OPERAND_
759e0 6c 73 69 34 78 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 37 2c 0a 20 20 4f 50 45 52 41 lsi4x4,...OPERAND_simm7,...OPERA
75a00 4e 44 5f 75 69 6d 6d 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 61 69 34 63 6f 6e 73 74 2c 0a 20 20 ND_uimm6,...OPERAND_ai4const,...
75a20 4f 50 45 52 41 4e 44 5f 62 34 63 6f 6e 73 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 62 34 63 6f 6e OPERAND_b4const,...OPERAND_b4con
75a40 73 74 75 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f stu,...OPERAND_uimm8,...OPERAND_
75a60 75 69 6d 6d 38 78 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 2c 0a 20 20 4f 50 uimm8x2,...OPERAND_uimm8x4,...OP
75a80 45 52 41 4e 44 5f 75 69 6d 6d 34 78 31 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 72 78 ERAND_uimm4x16,...OPERAND_uimmrx
75aa0 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 69 4,...OPERAND_simm8,...OPERAND_si
75ac0 6d 6d 38 78 32 35 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 31 32 62 2c 0a 20 20 4f 50 mm8x256,...OPERAND_simm12b,...OP
75ae0 45 52 41 4e 44 5f 6d 73 61 6c 70 33 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 70 32 70 31 2c 0a ERAND_msalp32,...OPERAND_op2p1,.
75b00 20 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 6c 61 62 ..OPERAND_label8,...OPERAND_ulab
75b20 65 6c 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 31 32 2c 0a 20 20 4f 50 45 52 41 4e el8,...OPERAND_label12,...OPERAN
75b40 44 5f 73 6f 66 66 73 65 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 31 36 78 34 2c 0a 20 D_soffset,...OPERAND_uimm16x4,..
75b60 20 4f 50 45 52 41 4e 44 5f 62 62 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 61 65 2c 0a 20 20 4f .OPERAND_bbi,...OPERAND_sae,...O
75b80 50 45 52 41 4e 44 5f 73 61 73 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 61 72 67 74 2c 0a 20 20 4f PERAND_sas,...OPERAND_sargt,...O
75ba0 50 45 52 41 4e 44 5f 73 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 78 2c 0a 20 20 4f 50 45 52 41 4e PERAND_s,...OPERAND_mx,...OPERAN
75bc0 44 5f 6d 79 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 77 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 72 D_my,...OPERAND_mw,...OPERAND_mr
75be0 30 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 72 31 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 72 32 2c 0,...OPERAND_mr1,...OPERAND_mr2,
75c00 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 72 33 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 74 2c 0a ...OPERAND_mr3,...OPERAND_immt,.
75c20 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 73 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 70 37 2c 0a 20 ..OPERAND_imms,...OPERAND_tp7,..
75c40 20 4f 50 45 52 41 4e 44 5f 78 74 5f 77 62 72 31 35 5f 6c 61 62 65 6c 2c 0a 20 20 4f 50 45 52 41 .OPERAND_xt_wbr15_label,...OPERA
75c60 4e 44 5f 78 74 5f 77 62 72 31 38 5f 6c 61 62 65 6c 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 62 69 74 ND_xt_wbr18_label,...OPERAND_bit
75c80 69 6e 64 65 78 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 62 62 index,...OPERAND_t,...OPERAND_bb
75ca0 69 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 31 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 i4,...OPERAND_imm12,...OPERAND_i
75cc0 6d 6d 38 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 31 32 62 2c 0a 20 20 4f 50 45 52 41 4e 44 mm8,...OPERAND_imm12b,...OPERAND
75ce0 5f 69 6d 6d 31 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6e _imm16,...OPERAND_m,...OPERAND_n
75d00 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 66 66 73 65 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 70 ,...OPERAND_offset,...OPERAND_op
75d20 30 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 70 31 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6f 70 32 2c 0,...OPERAND_op1,...OPERAND_op2,
75d40 0a 20 20 4f 50 45 52 41 4e 44 5f 72 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 61 34 2c 0a 20 20 4f ...OPERAND_r,...OPERAND_sa4,...O
75d60 50 45 52 41 4e 44 5f 73 61 65 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 61 6c 2c 0a 20 20 4f 50 PERAND_sae4,...OPERAND_sal,...OP
75d80 45 52 41 4e 44 5f 73 61 73 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 72 2c 0a 20 20 4f 50 45 52 ERAND_sas4,...OPERAND_sr,...OPER
75da0 41 4e 44 5f 73 74 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 68 69 33 2c 0a 20 20 4f 50 45 52 41 4e AND_st,...OPERAND_thi3,...OPERAN
75dc0 44 5f 69 6d 6d 34 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 6d 6e 2c 0a 20 20 4f 50 45 52 41 4e 44 5f D_imm4,...OPERAND_mn,...OPERAND_
75de0 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 36 6c 6f 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 i,...OPERAND_imm6lo,...OPERAND_i
75e00 6d 6d 36 68 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 37 6c 6f 2c 0a 20 20 4f 50 45 52 41 mm6hi,...OPERAND_imm7lo,...OPERA
75e20 4e 44 5f 69 6d 6d 37 68 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 7a 2c 0a 20 20 4f 50 45 52 41 4e ND_imm7hi,...OPERAND_z,...OPERAN
75e40 44 5f 69 6d 6d 36 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 37 2c 0a 20 20 4f 50 45 52 41 4e D_imm6,...OPERAND_imm7,...OPERAN
75e60 44 5f 72 33 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 72 62 69 74 32 2c 0a 20 20 4f 50 45 52 41 4e 44 D_r3,...OPERAND_rbit2,...OPERAND
75e80 5f 72 68 69 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 33 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 62 _rhi,...OPERAND_t3,...OPERAND_tb
75ea0 69 74 32 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 74 6c 6f 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 77 2c it2,...OPERAND_tlo,...OPERAND_w,
75ec0 0a 20 20 4f 50 45 52 41 4e 44 5f 79 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 78 2c 0a 20 20 4f 50 45 ...OPERAND_y,...OPERAND_x,...OPE
75ee0 52 41 4e 44 5f 78 74 5f 77 62 72 31 35 5f 69 6d 6d 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 78 74 5f RAND_xt_wbr15_imm,...OPERAND_xt_
75f00 77 62 72 31 38 5f 69 6d 6d 2c 0a 20 20 4f 50 45 52 41 4e 44 5f 73 33 74 6f 31 0a 7d 3b 0a 0a 0c wbr18_imm,...OPERAND_s3to1.};...
75f20 0a 2f 2a 20 49 63 6c 61 73 73 20 74 61 62 6c 65 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 78 74 ./*.Iclass.table...*/..static.xt
75f40 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
75f60 73 73 5f 72 66 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 ss_rfe_stateArgs[].=.{...{.{.STA
75f80 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
75fa0 50 53 45 58 43 4d 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 PSEXCM.},.'m'.},...{.{.STATE_EPC
75fc0 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 1.},.'i'.}.};..static.xtensa_arg
75fe0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 65 5f _internal.Iclass_xt_iclass_rfde_
76000 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
76020 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
76040 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 50 43 20 7d 2c 20 27 69 },.'i'.},...{.{.STATE_DEPC.},.'i
76060 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
76080 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 5f 61 72 67 73 5b al.Iclass_xt_iclass_call12_args[
760a0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 6f 66 66 73 65 74 78 34 20 7d 2c ].=.{...{.{.OPERAND_soffsetx4.},
760c0 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 31 32 20 7d 2c 20 27 6f .'i'.},...{.{.OPERAND_ar12.},.'o
760e0 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
76100 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 5f 73 74 61 74 65 al.Iclass_xt_iclass_call12_state
76120 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 Args[].=.{...{.{.STATE_PSCALLINC
76140 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
76160 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 38 5f internal.Iclass_xt_iclass_call8_
76180 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 6f 66 66 73 65 74 args[].=.{...{.{.OPERAND_soffset
761a0 78 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 38 20 7d x4.},.'i'.},...{.{.OPERAND_ar8.}
761c0 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
761e0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 38 5f 73 74 ternal.Iclass_xt_iclass_call8_st
76200 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c ateArgs[].=.{...{.{.STATE_PSCALL
76220 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 INC.},.'o'.}.};..static.xtensa_a
76240 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c rg_internal.Iclass_xt_iclass_cal
76260 6c 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 6f 66 66 l4_args[].=.{...{.{.OPERAND_soff
76280 73 65 74 78 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 setx4.},.'i'.},...{.{.OPERAND_ar
762a0 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 4.},.'o'.}.};..static.xtensa_arg
762c0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 34 _internal.Iclass_xt_iclass_call4
762e0 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 _stateArgs[].=.{...{.{.STATE_PSC
76300 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ALLINC.},.'o'.}.};..static.xtens
76320 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
76340 63 61 6c 6c 78 31 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 callx12_args[].=.{...{.{.OPERAND
76360 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 31 _ars.},.'i'.},...{.{.OPERAND_ar1
76380 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 2.},.'o'.}.};..static.xtensa_arg
763a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 _internal.Iclass_xt_iclass_callx
763c0 31 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 12_stateArgs[].=.{...{.{.STATE_P
763e0 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 SCALLINC.},.'o'.}.};..static.xte
76400 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
76420 73 5f 63 61 6c 6c 78 38 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e s_callx8_args[].=.{...{.{.OPERAN
76440 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 D_ars.},.'i'.},...{.{.OPERAND_ar
76460 38 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 8.},.'o'.}.};..static.xtensa_arg
76480 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 _internal.Iclass_xt_iclass_callx
764a0 38 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 8_stateArgs[].=.{...{.{.STATE_PS
764c0 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e CALLINC.},.'o'.}.};..static.xten
764e0 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
76500 5f 63 61 6c 6c 78 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 _callx4_args[].=.{...{.{.OPERAND
76520 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 34 _ars.},.'i'.},...{.{.OPERAND_ar4
76540 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
76560 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 34 internal.Iclass_xt_iclass_callx4
76580 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 _stateArgs[].=.{...{.{.STATE_PSC
765a0 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ALLINC.},.'o'.}.};..static.xtens
765c0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
765e0 65 6e 74 72 79 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 entry_args[].=.{...{.{.OPERAND_a
76600 72 73 5f 65 6e 74 72 79 20 7d 2c 20 27 73 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 rs_entry.},.'s'.},...{.{.OPERAND
76620 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d _ars.},.'i'.},...{.{.OPERAND_uim
76640 6d 31 32 78 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 m12x8.},.'i'.}.};..static.xtensa
76660 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 _arg_internal.Iclass_xt_iclass_e
76680 6e 74 72 79 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 ntry_stateArgs[].=.{...{.{.STATE
766a0 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 _PSCALLINC.},.'i'.},...{.{.STATE
766c0 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
766e0 57 4f 45 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 WOE.},.'i'.},...{.{.STATE_Window
76700 42 61 73 65 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f Base.},.'m'.},...{.{.STATE_Windo
76720 77 53 74 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 wStart.},.'m'.}.};..static.xtens
76740 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
76760 6d 6f 76 73 70 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 movsp_args[].=.{...{.{.OPERAND_a
76780 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d rt.},.'o'.},...{.{.OPERAND_ars.}
767a0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
767c0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 73 70 5f 73 74 ternal.Iclass_xt_iclass_movsp_st
767e0 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 ateArgs[].=.{...{.{.STATE_Window
76800 42 61 73 65 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f Base.},.'i'.},...{.{.STATE_Windo
76820 77 53 74 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 wStart.},.'i'.}.};..static.xtens
76840 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
76860 72 6f 74 77 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 69 rotw_args[].=.{...{.{.OPERAND_si
76880 6d 6d 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 mm4.},.'i'.}.};..static.xtensa_a
768a0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 6f 74 rg_internal.Iclass_xt_iclass_rot
768c0 77 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 w_stateArgs[].=.{...{.{.STATE_PS
768e0 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
76900 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 42 61 G.},.'i'.},...{.{.STATE_WindowBa
76920 73 65 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 se.},.'m'.}.};..static.xtensa_ar
76940 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 77 g_internal.Iclass_xt_iclass_retw
76960 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 5f 61 72 73 5f 69 _args[].=.{...{.{.OPERAND__ars_i
76980 6e 76 69 73 69 62 6c 65 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 nvisible.},.'i'.}.};..static.xte
769a0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
769c0 73 5f 72 65 74 77 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 s_retw_stateArgs[].=.{...{.{.STA
769e0 54 45 5f 57 69 6e 64 6f 77 42 61 73 65 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 TE_WindowBase.},.'m'.},...{.{.ST
76a00 41 54 45 5f 57 69 6e 64 6f 77 53 74 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 ATE_WindowStart.},.'m'.},...{.{.
76a20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 STATE_PSCALLINC.},.'o'.},...{.{.
76a40 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
76a60 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 TE_PSWOE.},.'i'.}.};..static.xte
76a80 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
76aa0 73 5f 72 66 77 6f 75 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 s_rfwou_stateArgs[].=.{...{.{.ST
76ac0 41 54 45 5f 45 50 43 31 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 ATE_EPC1.},.'i'.},...{.{.STATE_P
76ae0 53 45 58 43 4d 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'m'.},...{.{.STATE_PSRI
76b00 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 42 NG.},.'i'.},...{.{.STATE_WindowB
76b20 61 73 65 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 ase.},.'m'.},...{.{.STATE_Window
76b40 53 74 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 4f 57 Start.},.'m'.},...{.{.STATE_PSOW
76b60 42 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 B.},.'i'.}.};..static.xtensa_arg
76b80 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 5f _internal.Iclass_xt_iclass_l32e_
76ba0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
76bc0 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 'o'.},...{.{.OPERAND_ars.},.'i'.
76be0 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 72 78 34 20 7d 2c 20 27 69 27 20 7d },...{.{.OPERAND_immrx4.},.'i'.}
76c00 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
76c20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 5f 73 74 61 74 65 41 72 67 73 5b Iclass_xt_iclass_l32e_stateArgs[
76c40 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 ].=.{...{.{.STATE_PSEXCM.},.'i'.
76c60 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d },...{.{.STATE_PSRING.},.'i'.}.}
76c80 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
76ca0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 lass_xt_iclass_s32e_args[].=.{..
76cc0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b .{.{.OPERAND_art.},.'i'.},...{.{
76ce0 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 .OPERAND_ars.},.'i'.},...{.{.OPE
76d00 52 41 4e 44 5f 69 6d 6d 72 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 RAND_immrx4.},.'i'.}.};..static.
76d20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
76d40 6c 61 73 73 5f 73 33 32 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 lass_s32e_stateArgs[].=.{...{.{.
76d60 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
76d80 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 TE_PSRING.},.'i'.}.};..static.xt
76da0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
76dc0 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ss_rsr_windowbase_args[].=.{...{
76de0 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.OPERAND_art.},.'o'.}.};..stat
76e00 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
76e20 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 _iclass_rsr_windowbase_stateArgs
76e40 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 [].=.{...{.{.STATE_PSEXCM.},.'i'
76e60 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c .},...{.{.STATE_PSRING.},.'i'.},
76e80 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 42 61 73 65 20 7d 2c 20 27 69 27 20 7d ...{.{.STATE_WindowBase.},.'i'.}
76ea0 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
76ec0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f Iclass_xt_iclass_wsr_windowbase_
76ee0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
76f00 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
76f20 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 rnal.Iclass_xt_iclass_wsr_window
76f40 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 base_stateArgs[].=.{...{.{.STATE
76f60 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
76f80 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f RING.},.'i'.},...{.{.STATE_Windo
76fa0 77 42 61 73 65 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 wBase.},.'o'.}.};..static.xtensa
76fc0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
76fe0 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f sr_windowbase_args[].=.{...{.{.O
77000 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'m'.}.};..static.x
77020 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
77040 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d ass_xsr_windowbase_stateArgs[].=
77060 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a .{...{.{.STATE_PSEXCM.},.'i'.},.
77080 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSRING.},.'i'.},...{
770a0 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 42 61 73 65 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a .{.STATE_WindowBase.},.'m'.}.};.
770c0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
770e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 72 67 ss_xt_iclass_rsr_windowstart_arg
77100 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
77120 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
77140 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 l.Iclass_xt_iclass_rsr_windowsta
77160 72 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 rt_stateArgs[].=.{...{.{.STATE_P
77180 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'i'.},...{.{.STATE_PSRI
771a0 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 53 NG.},.'i'.},...{.{.STATE_WindowS
771c0 74 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f tart.},.'i'.}.};..static.xtensa_
771e0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 arg_internal.Iclass_xt_iclass_ws
77200 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f r_windowstart_args[].=.{...{.{.O
77220 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
77240 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
77260 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 ass_wsr_windowstart_stateArgs[].
77280 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
772a0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
772c0 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f 77 53 74 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d {.{.STATE_WindowStart.},.'o'.}.}
772e0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
77300 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 lass_xt_iclass_xsr_windowstart_a
77320 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
77340 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
77360 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 nal.Iclass_xt_iclass_xsr_windows
77380 74 61 72 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 tart_stateArgs[].=.{...{.{.STATE
773a0 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
773c0 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 57 69 6e 64 6f RING.},.'i'.},...{.{.STATE_Windo
773e0 77 53 74 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 wStart.},.'m'.}.};..static.xtens
77400 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
77420 61 64 64 5f 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 add_n_args[].=.{...{.{.OPERAND_a
77440 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d rr.},.'o'.},...{.{.OPERAND_ars.}
77460 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 ,.'i'.},...{.{.OPERAND_art.},.'i
77480 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
774a0 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 5f 6e 5f 61 72 67 73 5b al.Iclass_xt_iclass_addi_n_args[
774c0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_arr.},.'o'.}
774e0 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ,...{.{.OPERAND_ars.},.'i'.},...
77500 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 69 34 63 6f 6e 73 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b {.{.OPERAND_ai4const.},.'i'.}.};
77520 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
77540 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 7a 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_xt_iclass_bz6_args[].=.{...{
77560 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
77580 50 45 52 41 4e 44 5f 75 69 6d 6d 36 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 PERAND_uimm6.},.'i'.}.};..static
775a0 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
775c0 63 6c 61 73 73 5f 6c 6f 61 64 69 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 class_loadi4_args[].=.{...{.{.OP
775e0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e ERAND_art.},.'o'.},...{.{.OPERAN
77600 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 73 D_ars.},.'i'.},...{.{.OPERAND_ls
77620 69 34 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f i4x4.},.'i'.}.};..static.xtensa_
77640 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f arg_internal.Iclass_xt_iclass_mo
77660 76 5f 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 v_n_args[].=.{...{.{.OPERAND_art
77680 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 .},.'o'.},...{.{.OPERAND_ars.},.
776a0 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
776c0 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 69 5f 6e 5f 61 72 67 rnal.Iclass_xt_iclass_movi_n_arg
776e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_ars.},.'o'
77700 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 37 20 7d 2c 20 27 69 27 20 7d .},...{.{.OPERAND_simm7.},.'i'.}
77720 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
77740 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b Iclass_xt_iclass_retn_args[].=.{
77760 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 5f 61 72 73 5f 69 6e 76 69 73 69 62 6c 65 20 7d 2c ...{.{.OPERAND__ars_invisible.},
77780 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
777a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 74 6f 72 65 69 34 5f 61 ernal.Iclass_xt_iclass_storei4_a
777c0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
777e0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d i'.},...{.{.OPERAND_ars.},.'i'.}
77800 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 73 69 34 78 34 20 7d 2c 20 27 69 27 20 7d 0a ,...{.{.OPERAND_lsi4x4.},.'i'.}.
77820 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
77840 63 6c 61 73 73 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 class_rur_threadptr_args[].=.{..
77860 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_arr.},.'o'.}.};..st
77880 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
778a0 72 75 72 5f 74 68 72 65 61 64 70 74 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 rur_threadptr_stateArgs[].=.{...
778c0 7b 20 7b 20 53 54 41 54 45 5f 54 48 52 45 41 44 50 54 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a {.{.STATE_THREADPTR.},.'i'.}.};.
778e0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
77900 73 73 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_wur_threadptr_args[].=.{...{.
77920 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
77940 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 77 75 72 c.xtensa_arg_internal.Iclass_wur
77960 5f 74 68 72 65 61 64 70 74 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b _threadptr_stateArgs[].=.{...{.{
77980 20 53 54 41 54 45 5f 54 48 52 45 41 44 50 54 52 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .STATE_THREADPTR.},.'o'.}.};..st
779a0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
779c0 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 xt_iclass_addi_args[].=.{...{.{.
779e0 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 OPERAND_art.},.'o'.},...{.{.OPER
77a00 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f AND_ars.},.'i'.},...{.{.OPERAND_
77a20 73 69 6d 6d 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 simm8.},.'i'.}.};..static.xtensa
77a40 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 _arg_internal.Iclass_xt_iclass_a
77a60 64 64 6d 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ddmi_args[].=.{...{.{.OPERAND_ar
77a80 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c t.},.'o'.},...{.{.OPERAND_ars.},
77aa0 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 38 78 32 35 36 20 .'i'.},...{.{.OPERAND_simm8x256.
77ac0 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
77ae0 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 5f nternal.Iclass_xt_iclass_addsub_
77b00 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 args[].=.{...{.{.OPERAND_arr.},.
77b20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 'o'.},...{.{.OPERAND_ars.},.'i'.
77b40 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b },...{.{.OPERAND_art.},.'i'.}.};
77b60 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
77b80 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 69 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_xt_iclass_bit_args[].=.{...{
77ba0 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_arr.},.'o'.},...{.{.O
77bc0 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_ars.},.'i'.},...{.{.OPERA
77be0 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'i'.}.};..static.xtens
77c00 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
77c20 62 73 69 38 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 bsi8_args[].=.{...{.{.OPERAND_ar
77c40 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 34 63 6f 6e 73 s.},.'i'.},...{.{.OPERAND_b4cons
77c60 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 38 t.},.'i'.},...{.{.OPERAND_label8
77c80 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
77ca0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 5f internal.Iclass_xt_iclass_bsi8b_
77cc0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 args[].=.{...{.{.OPERAND_ars.},.
77ce0 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 62 69 20 7d 2c 20 27 69 27 20 'i'.},...{.{.OPERAND_bbi.},.'i'.
77d00 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 38 20 7d 2c 20 27 69 27 20 7d },...{.{.OPERAND_label8.},.'i'.}
77d20 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
77d40 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 75 5f 61 72 67 73 5b 5d 20 3d 20 Iclass_xt_iclass_bsi8u_args[].=.
77d60 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 {...{.{.OPERAND_ars.},.'i'.},...
77d80 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 34 63 6f 6e 73 74 75 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {.{.OPERAND_b4constu.},.'i'.},..
77da0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 61 62 65 6c 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a .{.{.OPERAND_label8.},.'i'.}.};.
77dc0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
77de0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ss_xt_iclass_bst8_args[].=.{...{
77e00 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
77e20 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_art.},.'i'.},...{.{.OPERA
77e40 4e 44 5f 6c 61 62 65 6c 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ND_label8.},.'i'.}.};..static.xt
77e60 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
77e80 73 73 5f 62 73 7a 31 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e ss_bsz12_args[].=.{...{.{.OPERAN
77ea0 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6c 61 D_ars.},.'i'.},...{.{.OPERAND_la
77ec0 62 65 6c 31 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 bel12.},.'i'.}.};..static.xtensa
77ee0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 _arg_internal.Iclass_xt_iclass_c
77f00 61 6c 6c 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 6f all0_args[].=.{...{.{.OPERAND_so
77f20 66 66 73 65 74 78 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f ffsetx4.},.'i'.},...{.{.OPERAND_
77f40 61 72 30 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 ar0.},.'o'.}.};..static.xtensa_a
77f60 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c rg_internal.Iclass_xt_iclass_cal
77f80 6c 78 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 lx0_args[].=.{...{.{.OPERAND_ars
77fa0 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 30 20 7d 2c 20 .},.'i'.},...{.{.OPERAND_ar0.},.
77fc0 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'o'.}.};..static.xtensa_arg_inte
77fe0 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 78 74 69 5f 61 72 67 73 5b rnal.Iclass_xt_iclass_exti_args[
78000 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_arr.},.'o'.}
78020 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ,...{.{.OPERAND_art.},.'i'.},...
78040 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 61 65 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 {.{.OPERAND_sae.},.'i'.},...{.{.
78060 4f 50 45 52 41 4e 44 5f 6f 70 32 70 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 OPERAND_op2p1.},.'i'.}.};..stati
78080 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
780a0 69 63 6c 61 73 73 5f 6a 75 6d 70 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 iclass_jump_args[].=.{...{.{.OPE
780c0 52 41 4e 44 5f 73 6f 66 66 73 65 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 RAND_soffset.},.'i'.}.};..static
780e0 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
78100 63 6c 61 73 73 5f 6a 75 6d 70 78 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 class_jumpx_args[].=.{...{.{.OPE
78120 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_ars.},.'i'.}.};..static.xte
78140 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
78160 73 5f 6c 31 36 75 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 s_l16ui_args[].=.{...{.{.OPERAND
78180 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 _art.},.'o'.},...{.{.OPERAND_ars
781a0 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 32 .},.'i'.},...{.{.OPERAND_uimm8x2
781c0 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
781e0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 36 73 69 5f internal.Iclass_xt_iclass_l16si_
78200 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
78220 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 'o'.},...{.{.OPERAND_ars.},.'i'.
78240 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 32 20 7d 2c 20 27 69 27 20 },...{.{.OPERAND_uimm8x2.},.'i'.
78260 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
78280 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 69 5f 61 72 67 73 5b 5d 20 3d 20 .Iclass_xt_iclass_l32i_args[].=.
782a0 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 {...{.{.OPERAND_art.},.'o'.},...
782c0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 {.{.OPERAND_ars.},.'i'.},...{.{.
782e0 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 OPERAND_uimm8x4.},.'i'.}.};..sta
78300 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
78320 74 5f 69 63 6c 61 73 73 5f 6c 33 32 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f t_iclass_l32r_args[].=.{...{.{.O
78340 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_art.},.'o'.},...{.{.OPERA
78360 4e 44 5f 75 69 6d 6d 31 36 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 ND_uimm16x4.},.'i'.}.};..static.
78380 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
783a0 6c 61 73 73 5f 6c 38 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e lass_l8i_args[].=.{...{.{.OPERAN
783c0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 D_art.},.'o'.},...{.{.OPERAND_ar
783e0 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 20 s.},.'i'.},...{.{.OPERAND_uimm8.
78400 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
78420 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 5f 61 72 nternal.Iclass_xt_iclass_loop_ar
78440 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 gs[].=.{...{.{.OPERAND_ars.},.'i
78460 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 6c 61 62 65 6c 38 20 7d 2c 20 27 69 '.},...{.{.OPERAND_ulabel8.},.'i
78480 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
784a0 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 5f 73 74 61 74 65 41 72 al.Iclass_xt_iclass_loop_stateAr
784c0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 42 45 47 20 7d 2c 20 27 6f 27 gs[].=.{...{.{.STATE_LBEG.},.'o'
784e0 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 .},...{.{.STATE_LEND.},.'o'.},..
78500 20 7b 20 7b 20 53 54 41 54 45 5f 4c 43 4f 55 4e 54 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 .{.{.STATE_LCOUNT.},.'o'.}.};..s
78520 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
78540 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 7a 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _xt_iclass_loopz_args[].=.{...{.
78560 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 {.OPERAND_ars.},.'i'.},...{.{.OP
78580 45 52 41 4e 44 5f 75 6c 61 62 65 6c 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 ERAND_ulabel8.},.'i'.}.};..stati
785a0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
785c0 69 63 6c 61 73 73 5f 6c 6f 6f 70 7a 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b iclass_loopz_stateArgs[].=.{...{
785e0 20 7b 20 53 54 41 54 45 5f 4c 42 45 47 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .{.STATE_LBEG.},.'o'.},...{.{.ST
78600 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c ATE_LEND.},.'o'.},...{.{.STATE_L
78620 43 4f 55 4e 54 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 COUNT.},.'o'.}.};..static.xtensa
78640 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d _arg_internal.Iclass_xt_iclass_m
78660 6f 76 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 ovi_args[].=.{...{.{.OPERAND_art
78680 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 69 6d 6d 31 32 62 .},.'o'.},...{.{.OPERAND_simm12b
786a0 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
786c0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 5f 61 internal.Iclass_xt_iclass_movz_a
786e0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_arr.},.'
78700 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d m'.},...{.{.OPERAND_ars.},.'i'.}
78720 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a ,...{.{.OPERAND_art.},.'i'.}.};.
78740 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
78760 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 65 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_xt_iclass_neg_args[].=.{...{.
78780 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 {.OPERAND_arr.},.'o'.},...{.{.OP
787a0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
787c0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
787e0 73 73 5f 72 65 74 75 72 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 ss_return_args[].=.{...{.{.OPERA
78800 4e 44 5f 5f 61 72 73 5f 69 6e 76 69 73 69 62 6c 65 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ND__ars_invisible.},.'i'.}.};..s
78820 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
78840 5f 78 74 5f 69 63 6c 61 73 73 5f 73 31 36 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b _xt_iclass_s16i_args[].=.{...{.{
78860 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 .OPERAND_art.},.'i'.},...{.{.OPE
78880 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 RAND_ars.},.'i'.},...{.{.OPERAND
788a0 5f 75 69 6d 6d 38 78 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 _uimm8x2.},.'i'.}.};..static.xte
788c0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
788e0 73 5f 73 33 32 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f s_s32i_args[].=.{...{.{.OPERAND_
78900 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 art.},.'i'.},...{.{.OPERAND_ars.
78920 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 },.'i'.},...{.{.OPERAND_uimm8x4.
78940 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
78960 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 6e 62 5f 61 nternal.Iclass_xt_iclass_s32nb_a
78980 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
789a0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d i'.},...{.{.OPERAND_ars.},.'i'.}
789c0 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 72 78 34 20 7d 2c 20 27 69 27 20 7d ,...{.{.OPERAND_uimmrx4.},.'i'.}
789e0 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
78a00 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 38 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a Iclass_xt_iclass_s8i_args[].=.{.
78a20 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 ..{.{.OPERAND_art.},.'i'.},...{.
78a40 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 {.OPERAND_ars.},.'i'.},...{.{.OP
78a60 45 52 41 4e 44 5f 75 69 6d 6d 38 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 ERAND_uimm8.},.'i'.}.};..static.
78a80 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
78aa0 6c 61 73 73 5f 73 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e lass_sar_args[].=.{...{.{.OPERAN
78ac0 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_ars.},.'i'.}.};..static.xtensa
78ae0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 _arg_internal.Iclass_xt_iclass_s
78b00 61 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 ar_stateArgs[].=.{...{.{.STATE_S
78b20 41 52 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 AR.},.'o'.}.};..static.xtensa_ar
78b40 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 g_internal.Iclass_xt_iclass_sari
78b60 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 61 73 20 7d 2c _args[].=.{...{.{.OPERAND_sas.},
78b80 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
78ba0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 5f 73 74 61 74 ernal.Iclass_xt_iclass_sari_stat
78bc0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 41 52 20 7d 2c 20 27 eArgs[].=.{...{.{.STATE_SAR.},.'
78be0 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 o'.}.};..static.xtensa_arg_inter
78c00 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 5f 61 72 67 73 nal.Iclass_xt_iclass_shifts_args
78c20 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 [].=.{...{.{.OPERAND_arr.},.'o'.
78c40 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b },...{.{.OPERAND_ars.},.'i'.}.};
78c60 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
78c80 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 5f 73 74 61 74 65 41 72 67 73 5b 5d ass_xt_iclass_shifts_stateArgs[]
78ca0 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 41 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b .=.{...{.{.STATE_SAR.},.'i'.}.};
78cc0 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
78ce0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 74 5f 61 72 67 73 5b 5d 20 3d 20 7b ass_xt_iclass_shiftst_args[].=.{
78d00 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b ...{.{.OPERAND_arr.},.'o'.},...{
78d20 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
78d40 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
78d60 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
78d80 61 73 73 5f 73 68 69 66 74 73 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_shiftst_stateArgs[].=.{...{.
78da0 7b 20 53 54 41 54 45 5f 53 41 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 {.STATE_SAR.},.'i'.}.};..static.
78dc0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
78de0 6c 61 73 73 5f 73 68 69 66 74 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 lass_shiftt_args[].=.{...{.{.OPE
78e00 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 RAND_arr.},.'o'.},...{.{.OPERAND
78e20 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'i'.}.};..static.xtensa_
78e40 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 arg_internal.Iclass_xt_iclass_sh
78e60 69 66 74 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 iftt_stateArgs[].=.{...{.{.STATE
78e80 5f 53 41 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _SAR.},.'i'.}.};..static.xtensa_
78ea0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 6c arg_internal.Iclass_xt_iclass_sl
78ec0 6c 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 li_args[].=.{...{.{.OPERAND_arr.
78ee0 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 },.'o'.},...{.{.OPERAND_ars.},.'
78f00 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 73 61 6c 70 33 32 20 7d 2c 20 27 i'.},...{.{.OPERAND_msalp32.},.'
78f20 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
78f40 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 61 69 5f 61 72 67 73 5b 5d nal.Iclass_xt_iclass_srai_args[]
78f60 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c .=.{...{.{.OPERAND_arr.},.'o'.},
78f80 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ...{.{.OPERAND_art.},.'i'.},...{
78fa0 20 7b 20 4f 50 45 52 41 4e 44 5f 73 61 72 67 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.OPERAND_sargt.},.'i'.}.};..st
78fc0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
78fe0 78 74 5f 69 63 6c 61 73 73 5f 73 72 6c 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 xt_iclass_srli_args[].=.{...{.{.
79000 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 OPERAND_arr.},.'o'.},...{.{.OPER
79020 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f AND_art.},.'i'.},...{.{.OPERAND_
79040 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 s.},.'i'.}.};..static.xtensa_arg
79060 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 5f _internal.Iclass_xt_iclass_sync_
79080 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 stateArgs[].=.{...{.{.STATE_XTSY
790a0 4e 43 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NC.},.'i'.}.};..static.xtensa_ar
790c0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c g_internal.Iclass_xt_iclass_rsil
790e0 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
79100 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 20 7d 2c 20 27 69 27 20 7d .'o'.},...{.{.OPERAND_s.},.'i'.}
79120 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
79140 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 5f 73 74 61 74 65 41 72 67 73 5b Iclass_xt_iclass_rsil_stateArgs[
79160 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 69 27 20 7d ].=.{...{.{.STATE_PSWOE.},.'i'.}
79180 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 69 27 20 7d ,...{.{.STATE_PSCALLINC.},.'i'.}
791a0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ,...{.{.STATE_PSOWB.},.'i'.},...
791c0 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSRING.},.'i'.},...{.{
791e0 20 53 54 41 54 45 5f 50 53 55 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 .STATE_PSUM.},.'i'.},...{.{.STAT
79200 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
79220 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 SINTLEVEL.},.'m'.}.};..static.xt
79240 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
79260 73 73 5f 72 73 72 5f 6c 65 6e 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 ss_rsr_lend_args[].=.{...{.{.OPE
79280 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
792a0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
792c0 73 5f 72 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b s_rsr_lend_stateArgs[].=.{...{.{
792e0 20 53 54 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 .STATE_LEND.},.'i'.}.};..static.
79300 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
79320 6c 61 73 73 5f 77 73 72 5f 6c 65 6e 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f lass_wsr_lend_args[].=.{...{.{.O
79340 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
79360 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
79380 61 73 73 5f 77 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_wsr_lend_stateArgs[].=.{...{
793a0 20 7b 20 53 54 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 .{.STATE_LEND.},.'o'.}.};..stati
793c0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
793e0 69 63 6c 61 73 73 5f 78 73 72 5f 6c 65 6e 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b iclass_xsr_lend_args[].=.{...{.{
79400 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_art.},.'m'.}.};..static
79420 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
79440 63 6c 61 73 73 5f 78 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 class_xsr_lend_stateArgs[].=.{..
79460 20 7b 20 7b 20 53 54 41 54 45 5f 4c 45 4e 44 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 .{.{.STATE_LEND.},.'m'.}.};..sta
79480 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
794a0 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 t_iclass_rsr_lcount_args[].=.{..
794c0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'o'.}.};..st
794e0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
79500 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d xt_iclass_rsr_lcount_stateArgs[]
79520 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 43 4f 55 4e 54 20 7d 2c 20 27 69 27 20 7d .=.{...{.{.STATE_LCOUNT.},.'i'.}
79540 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
79560 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 Iclass_xt_iclass_wsr_lcount_args
79580 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 [].=.{...{.{.OPERAND_art.},.'i'.
795a0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
795c0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 73 74 61 .Iclass_xt_iclass_wsr_lcount_sta
795e0 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 teArgs[].=.{...{.{.STATE_XTSYNC.
79600 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 43 4f 55 4e 54 20 7d 2c 20 },.'o'.},...{.{.STATE_LCOUNT.},.
79620 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'o'.}.};..static.xtensa_arg_inte
79640 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e 74 rnal.Iclass_xt_iclass_xsr_lcount
79660 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
79680 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
796a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e ernal.Iclass_xt_iclass_xsr_lcoun
796c0 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 t_stateArgs[].=.{...{.{.STATE_XT
796e0 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c 43 4f 55 4e SYNC.},.'o'.},...{.{.STATE_LCOUN
79700 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 T.},.'m'.}.};..static.xtensa_arg
79720 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c _internal.Iclass_xt_iclass_rsr_l
79740 62 65 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 beg_args[].=.{...{.{.OPERAND_art
79760 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
79780 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 internal.Iclass_xt_iclass_rsr_lb
797a0 65 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 4c eg_stateArgs[].=.{...{.{.STATE_L
797c0 42 45 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 BEG.},.'i'.}.};..static.xtensa_a
797e0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rg_internal.Iclass_xt_iclass_wsr
79800 5f 6c 62 65 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 _lbeg_args[].=.{...{.{.OPERAND_a
79820 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'i'.}.};..static.xtensa_ar
79840 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
79860 6c 62 65 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 lbeg_stateArgs[].=.{...{.{.STATE
79880 5f 4c 42 45 47 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 _LBEG.},.'o'.}.};..static.xtensa
798a0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
798c0 73 72 5f 6c 62 65 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 sr_lbeg_args[].=.{...{.{.OPERAND
798e0 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'m'.}.};..static.xtensa_
79900 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
79920 72 5f 6c 62 65 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 r_lbeg_stateArgs[].=.{...{.{.STA
79940 54 45 5f 4c 42 45 47 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e TE_LBEG.},.'m'.}.};..static.xten
79960 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
79980 5f 72 73 72 5f 73 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e _rsr_sar_args[].=.{...{.{.OPERAN
799a0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
799c0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
799e0 73 72 5f 73 61 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 sr_sar_stateArgs[].=.{...{.{.STA
79a00 54 45 5f 53 41 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 TE_SAR.},.'i'.}.};..static.xtens
79a20 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
79a40 77 73 72 5f 73 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 wsr_sar_args[].=.{...{.{.OPERAND
79a60 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'i'.}.};..static.xtensa_
79a80 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 arg_internal.Iclass_xt_iclass_ws
79aa0 72 5f 73 61 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 r_sar_stateArgs[].=.{...{.{.STAT
79ac0 45 5f 53 41 52 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 E_SAR.},.'o'.},...{.{.STATE_XTSY
79ae0 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NC.},.'o'.}.};..static.xtensa_ar
79b00 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
79b20 73 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 sar_args[].=.{...{.{.OPERAND_art
79b40 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'m'.}.};..static.xtensa_arg_
79b60 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 internal.Iclass_xt_iclass_xsr_sa
79b80 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 41 r_stateArgs[].=.{...{.{.STATE_SA
79ba0 52 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 R.},.'m'.}.};..static.xtensa_arg
79bc0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d _internal.Iclass_xt_iclass_rsr_m
79be0 65 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 emctl_args[].=.{...{.{.OPERAND_a
79c00 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'o'.}.};..static.xtensa_ar
79c20 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
79c40 6d 65 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f memctl_args[].=.{...{.{.OPERAND_
79c60 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'i'.}.};..static.xtensa_a
79c80 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rg_internal.Iclass_xt_iclass_xsr
79ca0 5f 6d 65 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 _memctl_args[].=.{...{.{.OPERAND
79cc0 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'m'.}.};..static.xtensa_
79ce0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
79d00 72 5f 6c 69 74 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 r_litbase_args[].=.{...{.{.OPERA
79d20 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'o'.}.};..static.xtens
79d40 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
79d60 77 73 72 5f 6c 69 74 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 wsr_litbase_args[].=.{...{.{.OPE
79d80 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'i'.}.};..static.xte
79da0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
79dc0 73 5f 78 73 72 5f 6c 69 74 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f s_xsr_litbase_args[].=.{...{.{.O
79de0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'m'.}.};..static.x
79e00 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
79e20 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_rsr_configid0_args[].=.{...{
79e40 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.OPERAND_art.},.'o'.}.};..stat
79e60 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
79e80 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 73 74 61 74 65 41 72 67 73 5b _iclass_rsr_configid0_stateArgs[
79ea0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 ].=.{...{.{.STATE_PSEXCM.},.'i'.
79ec0 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d },...{.{.STATE_PSRING.},.'i'.}.}
79ee0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
79f00 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 61 72 67 lass_xt_iclass_wsr_configid0_arg
79f20 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
79f40 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
79f60 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 l.Iclass_xt_iclass_wsr_configid0
79f80 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 _stateArgs[].=.{...{.{.STATE_PSE
79fa0 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 XCM.},.'i'.},...{.{.STATE_PSRING
79fc0 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
79fe0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f internal.Iclass_xt_iclass_rsr_co
7a000 6e 66 69 67 69 64 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 nfigid1_args[].=.{...{.{.OPERAND
7a020 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'o'.}.};..static.xtensa_
7a040 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
7a060 72 5f 63 6f 6e 66 69 67 69 64 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 r_configid1_stateArgs[].=.{...{.
7a080 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
7a0a0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 TATE_PSRING.},.'i'.}.};..static.
7a0c0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
7a0e0 6c 61 73 73 5f 72 73 72 5f 70 73 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 lass_rsr_ps_args[].=.{...{.{.OPE
7a100 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
7a120 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
7a140 73 5f 72 73 72 5f 70 73 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 s_rsr_ps_stateArgs[].=.{...{.{.S
7a160 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 TATE_PSWOE.},.'i'.},...{.{.STATE
7a180 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 _PSCALLINC.},.'i'.},...{.{.STATE
7a1a0 5f 50 53 4f 57 42 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 _PSOWB.},.'i'.},...{.{.STATE_PSR
7a1c0 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 55 4d 20 7d ING.},.'i'.},...{.{.STATE_PSUM.}
7a1e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSEXCM.},.'
7a200 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 i'.},...{.{.STATE_PSINTLEVEL.},.
7a220 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
7a240 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 5f 61 72 67 rnal.Iclass_xt_iclass_wsr_ps_arg
7a260 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
7a280 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7a2a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 5f 73 74 61 74 65 41 l.Iclass_xt_iclass_wsr_ps_stateA
7a2c0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 rgs[].=.{...{.{.STATE_PSWOE.},.'
7a2e0 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 o'.},...{.{.STATE_PSCALLINC.},.'
7a300 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 6f 27 20 7d o'.},...{.{.STATE_PSOWB.},.'o'.}
7a320 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 ,...{.{.STATE_PSRING.},.'m'.},..
7a340 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 55 4d 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.{.STATE_PSUM.},.'o'.},...{.{.
7a360 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'m'.},...{.{.STA
7a380 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 TE_PSINTLEVEL.},.'o'.}.};..stati
7a3a0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7a3c0 69 63 6c 61 73 73 5f 78 73 72 5f 70 73 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f iclass_xsr_ps_args[].=.{...{.{.O
7a3e0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'m'.}.};..static.x
7a400 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7a420 61 73 73 5f 78 73 72 5f 70 73 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b ass_xsr_ps_stateArgs[].=.{...{.{
7a440 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 .STATE_PSWOE.},.'m'.},...{.{.STA
7a460 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 TE_PSCALLINC.},.'m'.},...{.{.STA
7a480 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 TE_PSOWB.},.'m'.},...{.{.STATE_P
7a4a0 53 52 49 4e 47 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 55 4d SRING.},.'m'.},...{.{.STATE_PSUM
7a4c0 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c .},.'m'.},...{.{.STATE_PSEXCM.},
7a4e0 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d .'m'.},...{.{.STATE_PSINTLEVEL.}
7a500 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'m'.}.};..static.xtensa_arg_in
7a520 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 31 ternal.Iclass_xt_iclass_rsr_epc1
7a540 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7a560 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'o'.}.};..static.xtensa_arg_int
7a580 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 31 5f ernal.Iclass_xt_iclass_rsr_epc1_
7a5a0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
7a5c0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
7a5e0 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 31 20 7d 2c 20 27 69 },.'i'.},...{.{.STATE_EPC1.},.'i
7a600 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7a620 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 31 5f 61 72 67 al.Iclass_xt_iclass_wsr_epc1_arg
7a640 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
7a660 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7a680 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 31 5f 73 74 61 74 l.Iclass_xt_iclass_wsr_epc1_stat
7a6a0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7a6c0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7a6e0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 31 20 7d 2c 20 27 6f 27 20 7d 0a i'.},...{.{.STATE_EPC1.},.'o'.}.
7a700 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
7a720 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 5f 61 72 67 73 5b 5d 20 class_xt_iclass_xsr_epc1_args[].
7a740 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'m'.}.}
7a760 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7a780 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_xsr_epc1_stateArg
7a7a0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
7a7c0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
7a7e0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPC1.},.'m'.}.};..
7a800 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7a820 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f 61 72 67 73 5b 5d 20 s_xt_iclass_rsr_excsave1_args[].
7a840 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'o'.}.}
7a860 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7a880 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f 73 74 61 74 lass_xt_iclass_rsr_excsave1_stat
7a8a0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7a8c0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7a8e0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 31 20 7d 2c 20 27 69 i'.},...{.{.STATE_EXCSAVE1.},.'i
7a900 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7a920 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 31 al.Iclass_xt_iclass_wsr_excsave1
7a940 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7a960 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
7a980 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 ernal.Iclass_xt_iclass_wsr_excsa
7a9a0 76 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ve1_stateArgs[].=.{...{.{.STATE_
7a9c0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7a9e0 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 ING.},.'i'.},...{.{.STATE_EXCSAV
7aa00 45 31 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 E1.},.'o'.}.};..static.xtensa_ar
7aa20 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
7aa40 65 78 63 73 61 76 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e excsave1_args[].=.{...{.{.OPERAN
7aa60 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'m'.}.};..static.xtensa
7aa80 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
7aaa0 73 72 5f 65 78 63 73 61 76 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 sr_excsave1_stateArgs[].=.{...{.
7aac0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
7aae0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
7ab00 45 5f 45 58 43 53 41 56 45 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 E_EXCSAVE1.},.'m'.}.};..static.x
7ab20 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7ab40 61 73 73 5f 72 73 72 5f 65 70 63 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ass_rsr_epc2_args[].=.{...{.{.OP
7ab60 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'o'.}.};..static.xt
7ab80 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
7aba0 73 73 5f 72 73 72 5f 65 70 63 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_rsr_epc2_stateArgs[].=.{...{.
7abc0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
7abe0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
7ac00 45 5f 45 50 43 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 E_EPC2.},.'i'.}.};..static.xtens
7ac20 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7ac40 77 73 72 5f 65 70 63 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e wsr_epc2_args[].=.{...{.{.OPERAN
7ac60 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'i'.}.};..static.xtensa
7ac80 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _arg_internal.Iclass_xt_iclass_w
7aca0 73 72 5f 65 70 63 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_epc2_stateArgs[].=.{...{.{.ST
7acc0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
7ace0 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
7ad00 43 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 C2.},.'o'.}.};..static.xtensa_ar
7ad20 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
7ad40 65 70 63 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 epc2_args[].=.{...{.{.OPERAND_ar
7ad60 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'m'.}.};..static.xtensa_arg
7ad80 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 _internal.Iclass_xt_iclass_xsr_e
7ada0 70 63 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f pc2_stateArgs[].=.{...{.{.STATE_
7adc0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7ade0 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 32 20 7d ING.},.'i'.},...{.{.STATE_EPC2.}
7ae00 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'m'.}.};..static.xtensa_arg_in
7ae20 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 ternal.Iclass_xt_iclass_rsr_excs
7ae40 61 76 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ave2_args[].=.{...{.{.OPERAND_ar
7ae60 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
7ae80 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 _internal.Iclass_xt_iclass_rsr_e
7aea0 78 63 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 xcsave2_stateArgs[].=.{...{.{.ST
7aec0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
7aee0 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 _PSRING.},.'i'.},...{.{.STATE_EX
7af00 43 53 41 56 45 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 CSAVE2.},.'i'.}.};..static.xtens
7af20 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7af40 77 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 wsr_excsave2_args[].=.{...{.{.OP
7af60 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
7af80 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
7afa0 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a ss_wsr_excsave2_stateArgs[].=.{.
7afc0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
7afe0 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
7b000 53 54 41 54 45 5f 45 58 43 53 41 56 45 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_EXCSAVE2.},.'o'.}.};..stat
7b020 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
7b040 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a _iclass_xsr_excsave2_args[].=.{.
7b060 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'m'.}.};..s
7b080 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
7b0a0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 _xt_iclass_xsr_excsave2_stateArg
7b0c0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
7b0e0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
7b100 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 32 20 7d 2c 20 27 6d 27 20 7d 0a ,...{.{.STATE_EXCSAVE2.},.'m'.}.
7b120 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
7b140 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 33 5f 61 72 67 73 5b 5d 20 class_xt_iclass_rsr_epc3_args[].
7b160 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'o'.}.}
7b180 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7b1a0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 33 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_rsr_epc3_stateArg
7b1c0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
7b1e0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
7b200 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 33 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPC3.},.'i'.}.};..
7b220 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7b240 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_wsr_epc3_args[].=.{.
7b260 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
7b280 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
7b2a0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_wsr_epc3_stateArgs[].
7b2c0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
7b2e0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
7b300 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 33 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPC3.},.'o'.}.};..stat
7b320 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
7b340 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_xsr_epc3_args[].=.{...{.
7b360 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'m'.}.};..stati
7b380 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7b3a0 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_xsr_epc3_stateArgs[].=.{.
7b3c0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
7b3e0 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
7b400 53 54 41 54 45 5f 45 50 43 33 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPC3.},.'m'.}.};..static.x
7b420 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7b440 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_rsr_excsave3_args[].=.{...{.
7b460 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'o'.}.};..stati
7b480 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7b4a0 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_rsr_excsave3_stateArgs[].
7b4c0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
7b4e0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
7b500 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 33 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a {.{.STATE_EXCSAVE3.},.'i'.}.};..
7b520 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7b540 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 5b 5d 20 s_xt_iclass_wsr_excsave3_args[].
7b560 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
7b580 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7b5a0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 5f 73 74 61 74 lass_xt_iclass_wsr_excsave3_stat
7b5c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7b5e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7b600 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 33 20 7d 2c 20 27 6f i'.},...{.{.STATE_EXCSAVE3.},.'o
7b620 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7b640 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 33 al.Iclass_xt_iclass_xsr_excsave3
7b660 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7b680 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
7b6a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 ernal.Iclass_xt_iclass_xsr_excsa
7b6c0 76 65 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ve3_stateArgs[].=.{...{.{.STATE_
7b6e0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7b700 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 ING.},.'i'.},...{.{.STATE_EXCSAV
7b720 45 33 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 E3.},.'m'.}.};..static.xtensa_ar
7b740 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
7b760 65 70 63 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 epc4_args[].=.{...{.{.OPERAND_ar
7b780 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
7b7a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 _internal.Iclass_xt_iclass_rsr_e
7b7c0 70 63 34 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f pc4_stateArgs[].=.{...{.{.STATE_
7b7e0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7b800 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 34 20 7d ING.},.'i'.},...{.{.STATE_EPC4.}
7b820 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
7b840 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 34 ternal.Iclass_xt_iclass_wsr_epc4
7b860 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7b880 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
7b8a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 34 5f ernal.Iclass_xt_iclass_wsr_epc4_
7b8c0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
7b8e0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
7b900 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 34 20 7d 2c 20 27 6f },.'i'.},...{.{.STATE_EPC4.},.'o
7b920 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7b940 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 34 5f 61 72 67 al.Iclass_xt_iclass_xsr_epc4_arg
7b960 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 s[].=.{...{.{.OPERAND_art.},.'m'
7b980 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7b9a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 34 5f 73 74 61 74 l.Iclass_xt_iclass_xsr_epc4_stat
7b9c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7b9e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7ba00 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 34 20 7d 2c 20 27 6d 27 20 7d 0a i'.},...{.{.STATE_EPC4.},.'m'.}.
7ba20 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
7ba40 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 class_xt_iclass_rsr_excsave4_arg
7ba60 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
7ba80 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7baa0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f l.Iclass_xt_iclass_rsr_excsave4_
7bac0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
7bae0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
7bb00 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 34 20 7d },.'i'.},...{.{.STATE_EXCSAVE4.}
7bb20 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
7bb40 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 ternal.Iclass_xt_iclass_wsr_excs
7bb60 61 76 65 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ave4_args[].=.{...{.{.OPERAND_ar
7bb80 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
7bba0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 _internal.Iclass_xt_iclass_wsr_e
7bbc0 78 63 73 61 76 65 34 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 xcsave4_stateArgs[].=.{...{.{.ST
7bbe0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
7bc00 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 _PSRING.},.'i'.},...{.{.STATE_EX
7bc20 43 53 41 56 45 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 CSAVE4.},.'o'.}.};..static.xtens
7bc40 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7bc60 78 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 xsr_excsave4_args[].=.{...{.{.OP
7bc80 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'m'.}.};..static.xt
7bca0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
7bcc0 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a ss_xsr_excsave4_stateArgs[].=.{.
7bce0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
7bd00 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
7bd20 53 54 41 54 45 5f 45 58 43 53 41 56 45 34 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_EXCSAVE4.},.'m'.}.};..stat
7bd40 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
7bd60 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_rsr_epc5_args[].=.{...{.
7bd80 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'o'.}.};..stati
7bda0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7bdc0 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_rsr_epc5_stateArgs[].=.{.
7bde0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
7be00 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
7be20 53 54 41 54 45 5f 45 50 43 35 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPC5.},.'i'.}.};..static.x
7be40 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7be60 61 73 73 5f 77 73 72 5f 65 70 63 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ass_wsr_epc5_args[].=.{...{.{.OP
7be80 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
7bea0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
7bec0 73 73 5f 77 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_wsr_epc5_stateArgs[].=.{...{.
7bee0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
7bf00 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
7bf20 45 5f 45 50 43 35 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 E_EPC5.},.'o'.}.};..static.xtens
7bf40 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7bf60 78 73 72 5f 65 70 63 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e xsr_epc5_args[].=.{...{.{.OPERAN
7bf80 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'m'.}.};..static.xtensa
7bfa0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
7bfc0 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_epc5_stateArgs[].=.{...{.{.ST
7bfe0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
7c000 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
7c020 43 35 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 C5.},.'m'.}.};..static.xtensa_ar
7c040 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
7c060 65 78 63 73 61 76 65 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e excsave5_args[].=.{...{.{.OPERAN
7c080 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
7c0a0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
7c0c0 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 sr_excsave5_stateArgs[].=.{...{.
7c0e0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
7c100 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
7c120 45 5f 45 58 43 53 41 56 45 35 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 E_EXCSAVE5.},.'i'.}.};..static.x
7c140 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7c160 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_wsr_excsave5_args[].=.{...{.
7c180 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
7c1a0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7c1c0 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_wsr_excsave5_stateArgs[].
7c1e0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
7c200 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
7c220 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 35 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a {.{.STATE_EXCSAVE5.},.'o'.}.};..
7c240 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7c260 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 61 72 67 73 5b 5d 20 s_xt_iclass_xsr_excsave5_args[].
7c280 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'m'.}.}
7c2a0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7c2c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 lass_xt_iclass_xsr_excsave5_stat
7c2e0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7c300 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7c320 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 35 20 7d 2c 20 27 6d i'.},...{.{.STATE_EXCSAVE5.},.'m
7c340 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7c360 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 36 5f 61 72 67 al.Iclass_xt_iclass_rsr_epc6_arg
7c380 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
7c3a0 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7c3c0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 36 5f 73 74 61 74 l.Iclass_xt_iclass_rsr_epc6_stat
7c3e0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7c400 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7c420 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 36 20 7d 2c 20 27 69 27 20 7d 0a i'.},...{.{.STATE_EPC6.},.'i'.}.
7c440 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
7c460 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 36 5f 61 72 67 73 5b 5d 20 class_xt_iclass_wsr_epc6_args[].
7c480 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
7c4a0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7c4c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_wsr_epc6_stateArg
7c4e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
7c500 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
7c520 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 36 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPC6.},.'o'.}.};..
7c540 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7c560 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_xsr_epc6_args[].=.{.
7c580 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'m'.}.};..s
7c5a0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
7c5c0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_xsr_epc6_stateArgs[].
7c5e0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
7c600 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
7c620 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 36 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPC6.},.'m'.}.};..stat
7c640 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
7c660 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a _iclass_rsr_excsave6_args[].=.{.
7c680 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'o'.}.};..s
7c6a0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
7c6c0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 _xt_iclass_rsr_excsave6_stateArg
7c6e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
7c700 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
7c720 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 36 20 7d 2c 20 27 69 27 20 7d 0a ,...{.{.STATE_EXCSAVE6.},.'i'.}.
7c740 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
7c760 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 36 5f 61 72 67 class_xt_iclass_wsr_excsave6_arg
7c780 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
7c7a0 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7c7c0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 36 5f l.Iclass_xt_iclass_wsr_excsave6_
7c7e0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
7c800 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
7c820 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 36 20 7d },.'i'.},...{.{.STATE_EXCSAVE6.}
7c840 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
7c860 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 ternal.Iclass_xt_iclass_xsr_excs
7c880 61 76 65 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ave6_args[].=.{...{.{.OPERAND_ar
7c8a0 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'m'.}.};..static.xtensa_arg
7c8c0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 _internal.Iclass_xt_iclass_xsr_e
7c8e0 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 xcsave6_stateArgs[].=.{...{.{.ST
7c900 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
7c920 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 _PSRING.},.'i'.},...{.{.STATE_EX
7c940 43 53 41 56 45 36 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 CSAVE6.},.'m'.}.};..static.xtens
7c960 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7c980 72 73 72 5f 65 70 63 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e rsr_epc7_args[].=.{...{.{.OPERAN
7c9a0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
7c9c0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
7c9e0 73 72 5f 65 70 63 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_epc7_stateArgs[].=.{...{.{.ST
7ca00 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
7ca20 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
7ca40 43 37 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 C7.},.'i'.}.};..static.xtensa_ar
7ca60 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
7ca80 65 70 63 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 epc7_args[].=.{...{.{.OPERAND_ar
7caa0 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
7cac0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 _internal.Iclass_xt_iclass_wsr_e
7cae0 70 63 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f pc7_stateArgs[].=.{...{.{.STATE_
7cb00 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7cb20 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 37 20 7d ING.},.'i'.},...{.{.STATE_EPC7.}
7cb40 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
7cb60 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 ternal.Iclass_xt_iclass_xsr_epc7
7cb80 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7cba0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
7cbc0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 5f ernal.Iclass_xt_iclass_xsr_epc7_
7cbe0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
7cc00 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
7cc20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 37 20 7d 2c 20 27 6d },.'i'.},...{.{.STATE_EPC7.},.'m
7cc40 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7cc60 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 37 al.Iclass_xt_iclass_rsr_excsave7
7cc80 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7cca0 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'o'.}.};..static.xtensa_arg_int
7ccc0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 ernal.Iclass_xt_iclass_rsr_excsa
7cce0 76 65 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ve7_stateArgs[].=.{...{.{.STATE_
7cd00 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7cd20 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 ING.},.'i'.},...{.{.STATE_EXCSAV
7cd40 45 37 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 E7.},.'i'.}.};..static.xtensa_ar
7cd60 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
7cd80 65 78 63 73 61 76 65 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e excsave7_args[].=.{...{.{.OPERAN
7cda0 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'i'.}.};..static.xtensa
7cdc0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _arg_internal.Iclass_xt_iclass_w
7cde0 73 72 5f 65 78 63 73 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 sr_excsave7_stateArgs[].=.{...{.
7ce00 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
7ce20 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
7ce40 45 5f 45 58 43 53 41 56 45 37 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 E_EXCSAVE7.},.'o'.}.};..static.x
7ce60 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7ce80 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_xsr_excsave7_args[].=.{...{.
7cea0 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'m'.}.};..stati
7cec0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7cee0 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_xsr_excsave7_stateArgs[].
7cf00 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
7cf20 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
7cf40 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 53 41 56 45 37 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a {.{.STATE_EXCSAVE7.},.'m'.}.};..
7cf60 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7cf80 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_rsr_eps2_args[].=.{.
7cfa0 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'o'.}.};..s
7cfc0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
7cfe0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_rsr_eps2_stateArgs[].
7d000 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
7d020 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
7d040 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPS2.},.'i'.}.};..stat
7d060 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
7d080 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_wsr_eps2_args[].=.{...{.
7d0a0 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
7d0c0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7d0e0 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_wsr_eps2_stateArgs[].=.{.
7d100 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
7d120 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
7d140 53 54 41 54 45 5f 45 50 53 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPS2.},.'o'.}.};..static.x
7d160 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7d180 61 73 73 5f 78 73 72 5f 65 70 73 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ass_xsr_eps2_args[].=.{...{.{.OP
7d1a0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'m'.}.};..static.xt
7d1c0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
7d1e0 73 73 5f 78 73 72 5f 65 70 73 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_xsr_eps2_stateArgs[].=.{...{.
7d200 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
7d220 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
7d240 45 5f 45 50 53 32 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 E_EPS2.},.'m'.}.};..static.xtens
7d260 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7d280 72 73 72 5f 65 70 73 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e rsr_eps3_args[].=.{...{.{.OPERAN
7d2a0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
7d2c0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
7d2e0 73 72 5f 65 70 73 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_eps3_stateArgs[].=.{...{.{.ST
7d300 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
7d320 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
7d340 53 33 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 S3.},.'i'.}.};..static.xtensa_ar
7d360 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
7d380 65 70 73 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 eps3_args[].=.{...{.{.OPERAND_ar
7d3a0 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
7d3c0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 _internal.Iclass_xt_iclass_wsr_e
7d3e0 70 73 33 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ps3_stateArgs[].=.{...{.{.STATE_
7d400 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7d420 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 33 20 7d ING.},.'i'.},...{.{.STATE_EPS3.}
7d440 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
7d460 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 33 ternal.Iclass_xt_iclass_xsr_eps3
7d480 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7d4a0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
7d4c0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 33 5f ernal.Iclass_xt_iclass_xsr_eps3_
7d4e0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
7d500 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
7d520 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 33 20 7d 2c 20 27 6d },.'i'.},...{.{.STATE_EPS3.},.'m
7d540 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7d560 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 34 5f 61 72 67 al.Iclass_xt_iclass_rsr_eps4_arg
7d580 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
7d5a0 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7d5c0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 34 5f 73 74 61 74 l.Iclass_xt_iclass_rsr_eps4_stat
7d5e0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7d600 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7d620 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 34 20 7d 2c 20 27 69 27 20 7d 0a i'.},...{.{.STATE_EPS4.},.'i'.}.
7d640 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
7d660 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 34 5f 61 72 67 73 5b 5d 20 class_xt_iclass_wsr_eps4_args[].
7d680 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
7d6a0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7d6c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 34 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_wsr_eps4_stateArg
7d6e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
7d700 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
7d720 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPS4.},.'o'.}.};..
7d740 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7d760 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_xsr_eps4_args[].=.{.
7d780 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'m'.}.};..s
7d7a0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
7d7c0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_xsr_eps4_stateArgs[].
7d7e0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
7d800 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
7d820 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 34 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPS4.},.'m'.}.};..stat
7d840 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
7d860 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_rsr_eps5_args[].=.{...{.
7d880 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'o'.}.};..stati
7d8a0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7d8c0 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_rsr_eps5_stateArgs[].=.{.
7d8e0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
7d900 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
7d920 53 54 41 54 45 5f 45 50 53 35 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPS5.},.'i'.}.};..static.x
7d940 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7d960 61 73 73 5f 77 73 72 5f 65 70 73 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ass_wsr_eps5_args[].=.{...{.{.OP
7d980 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
7d9a0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
7d9c0 73 73 5f 77 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ss_wsr_eps5_stateArgs[].=.{...{.
7d9e0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
7da00 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
7da20 45 5f 45 50 53 35 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 E_EPS5.},.'o'.}.};..static.xtens
7da40 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7da60 78 73 72 5f 65 70 73 35 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e xsr_eps5_args[].=.{...{.{.OPERAN
7da80 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'m'.}.};..static.xtensa
7daa0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
7dac0 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 sr_eps5_stateArgs[].=.{...{.{.ST
7dae0 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
7db00 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 _PSRING.},.'i'.},...{.{.STATE_EP
7db20 53 35 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 S5.},.'m'.}.};..static.xtensa_ar
7db40 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
7db60 65 70 73 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 eps6_args[].=.{...{.{.OPERAND_ar
7db80 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
7dba0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 _internal.Iclass_xt_iclass_rsr_e
7dbc0 70 73 36 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ps6_stateArgs[].=.{...{.{.STATE_
7dbe0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7dc00 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 36 20 7d ING.},.'i'.},...{.{.STATE_EPS6.}
7dc20 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
7dc40 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 ternal.Iclass_xt_iclass_wsr_eps6
7dc60 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7dc80 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
7dca0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 5f ernal.Iclass_xt_iclass_wsr_eps6_
7dcc0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
7dce0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
7dd00 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 36 20 7d 2c 20 27 6f },.'i'.},...{.{.STATE_EPS6.},.'o
7dd20 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7dd40 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 5f 61 72 67 al.Iclass_xt_iclass_xsr_eps6_arg
7dd60 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 s[].=.{...{.{.OPERAND_art.},.'m'
7dd80 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7dda0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 5f 73 74 61 74 l.Iclass_xt_iclass_xsr_eps6_stat
7ddc0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7dde0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7de00 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 36 20 7d 2c 20 27 6d 27 20 7d 0a i'.},...{.{.STATE_EPS6.},.'m'.}.
7de20 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
7de40 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 5f 61 72 67 73 5b 5d 20 class_xt_iclass_rsr_eps7_args[].
7de60 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'o'.}.}
7de80 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7dea0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_rsr_eps7_stateArg
7dec0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
7dee0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
7df00 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 37 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a ,...{.{.STATE_EPS7.},.'i'.}.};..
7df20 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7df40 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a s_xt_iclass_wsr_eps7_args[].=.{.
7df60 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
7df80 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
7dfa0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 _xt_iclass_wsr_eps7_stateArgs[].
7dfc0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
7dfe0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
7e000 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 37 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 {.{.STATE_EPS7.},.'o'.}.};..stat
7e020 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
7e040 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 37 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_xsr_eps7_args[].=.{...{.
7e060 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'m'.}.};..stati
7e080 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7e0a0 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a iclass_xsr_eps7_stateArgs[].=.{.
7e0c0 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
7e0e0 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
7e100 53 54 41 54 45 5f 45 50 53 37 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 STATE_EPS7.},.'m'.}.};..static.x
7e120 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7e140 61 73 73 5f 72 73 72 5f 65 78 63 76 61 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_rsr_excvaddr_args[].=.{...{.
7e160 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'o'.}.};..stati
7e180 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7e1a0 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_rsr_excvaddr_stateArgs[].
7e1c0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
7e1e0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
7e200 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a {.{.STATE_EXCVADDR.},.'i'.}.};..
7e220 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7e240 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 76 61 64 64 72 5f 61 72 67 73 5b 5d 20 s_xt_iclass_wsr_excvaddr_args[].
7e260 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
7e280 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7e2a0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 lass_xt_iclass_wsr_excvaddr_stat
7e2c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7e2e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7e300 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 6f i'.},...{.{.STATE_EXCVADDR.},.'o
7e320 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7e340 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 76 61 64 64 72 al.Iclass_xt_iclass_xsr_excvaddr
7e360 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7e380 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
7e3a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 76 61 ernal.Iclass_xt_iclass_xsr_excva
7e3c0 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ddr_stateArgs[].=.{...{.{.STATE_
7e3e0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7e400 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 ING.},.'i'.},...{.{.STATE_EXCVAD
7e420 44 52 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 DR.},.'m'.}.};..static.xtensa_ar
7e440 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
7e460 64 65 70 63 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 depc_args[].=.{...{.{.OPERAND_ar
7e480 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
7e4a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 _internal.Iclass_xt_iclass_rsr_d
7e4c0 65 70 63 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f epc_stateArgs[].=.{...{.{.STATE_
7e4e0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
7e500 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 50 43 20 7d ING.},.'i'.},...{.{.STATE_DEPC.}
7e520 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
7e540 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 70 63 ternal.Iclass_xt_iclass_wsr_depc
7e560 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
7e580 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
7e5a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 70 63 5f ernal.Iclass_xt_iclass_wsr_depc_
7e5c0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
7e5e0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
7e600 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 50 43 20 7d 2c 20 27 6f },.'i'.},...{.{.STATE_DEPC.},.'o
7e620 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7e640 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 70 63 5f 61 72 67 al.Iclass_xt_iclass_xsr_depc_arg
7e660 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 s[].=.{...{.{.OPERAND_art.},.'m'
7e680 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7e6a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 70 63 5f 73 74 61 74 l.Iclass_xt_iclass_xsr_depc_stat
7e6c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
7e6e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
7e700 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 50 43 20 7d 2c 20 27 6d 27 20 7d 0a i'.},...{.{.STATE_DEPC.},.'m'.}.
7e720 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
7e740 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 63 61 75 73 65 5f 61 72 67 class_xt_iclass_rsr_exccause_arg
7e760 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
7e780 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7e7a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 63 61 75 73 65 5f l.Iclass_xt_iclass_rsr_exccause_
7e7c0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
7e7e0 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
7e800 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 43 41 55 53 45 20 7d },.'i'.},...{.{.STATE_EXCCAUSE.}
7e820 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_XTSYNC.},.'
7e840 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
7e860 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 63 61 75 73 nal.Iclass_xt_iclass_wsr_exccaus
7e880 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d e_args[].=.{...{.{.OPERAND_art.}
7e8a0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
7e8c0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 63 ternal.Iclass_xt_iclass_wsr_excc
7e8e0 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 ause_stateArgs[].=.{...{.{.STATE
7e900 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
7e920 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 43 41 RING.},.'i'.},...{.{.STATE_EXCCA
7e940 55 53 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 USE.},.'o'.}.};..static.xtensa_a
7e960 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rg_internal.Iclass_xt_iclass_xsr
7e980 5f 65 78 63 63 61 75 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 _exccause_args[].=.{...{.{.OPERA
7e9a0 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'m'.}.};..static.xtens
7e9c0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7e9e0 78 73 72 5f 65 78 63 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b xsr_exccause_stateArgs[].=.{...{
7ea00 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSEXCM.},.'i'.},...{.{.
7ea20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSRING.},.'i'.},...{.{.STA
7ea40 54 45 5f 45 58 43 43 41 55 53 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 TE_EXCCAUSE.},.'m'.}.};..static.
7ea60 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
7ea80 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 lass_rsr_misc0_args[].=.{...{.{.
7eaa0 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 OPERAND_art.},.'o'.}.};..static.
7eac0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
7eae0 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 lass_rsr_misc0_stateArgs[].=.{..
7eb00 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSEXCM.},.'i'.},...{.
7eb20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSRING.},.'i'.},...{.{.S
7eb40 54 41 54 45 5f 4d 49 53 43 30 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 TATE_MISC0.},.'i'.}.};..static.x
7eb60 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7eb80 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f ass_wsr_misc0_args[].=.{...{.{.O
7eba0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
7ebc0 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7ebe0 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 ass_wsr_misc0_stateArgs[].=.{...
7ec00 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
7ec20 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
7ec40 41 54 45 5f 4d 49 53 43 30 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ATE_MISC0.},.'o'.}.};..static.xt
7ec60 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
7ec80 73 73 5f 78 73 72 5f 6d 69 73 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ss_xsr_misc0_args[].=.{...{.{.OP
7eca0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'m'.}.};..static.xt
7ecc0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
7ece0 73 73 5f 78 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ss_xsr_misc0_stateArgs[].=.{...{
7ed00 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSEXCM.},.'i'.},...{.{.
7ed20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSRING.},.'i'.},...{.{.STA
7ed40 54 45 5f 4d 49 53 43 30 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 TE_MISC0.},.'m'.}.};..static.xte
7ed60 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
7ed80 73 5f 72 73 72 5f 6d 69 73 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 s_rsr_misc1_args[].=.{...{.{.OPE
7eda0 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
7edc0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
7ede0 73 5f 72 73 72 5f 6d 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 s_rsr_misc1_stateArgs[].=.{...{.
7ee00 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
7ee20 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
7ee40 45 5f 4d 49 53 43 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e E_MISC1.},.'i'.}.};..static.xten
7ee60 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
7ee80 5f 77 73 72 5f 6d 69 73 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 _wsr_misc1_args[].=.{...{.{.OPER
7eea0 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e AND_art.},.'i'.}.};..static.xten
7eec0 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
7eee0 5f 77 73 72 5f 6d 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b _wsr_misc1_stateArgs[].=.{...{.{
7ef00 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSEXCM.},.'i'.},...{.{.ST
7ef20 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSRING.},.'i'.},...{.{.STATE
7ef40 5f 4d 49 53 43 31 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 _MISC1.},.'o'.}.};..static.xtens
7ef60 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7ef80 78 73 72 5f 6d 69 73 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 xsr_misc1_args[].=.{...{.{.OPERA
7efa0 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'m'.}.};..static.xtens
7efc0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7efe0 78 73 72 5f 6d 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 xsr_misc1_stateArgs[].=.{...{.{.
7f000 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
7f020 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
7f040 4d 49 53 43 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 MISC1.},.'m'.}.};..static.xtensa
7f060 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
7f080 73 72 5f 70 72 69 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 sr_prid_args[].=.{...{.{.OPERAND
7f0a0 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'o'.}.};..static.xtensa_
7f0c0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
7f0e0 72 5f 70 72 69 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 r_prid_stateArgs[].=.{...{.{.STA
7f100 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
7f120 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 PSRING.},.'i'.}.};..static.xtens
7f140 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7f160 72 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 rsr_vecbase_args[].=.{...{.{.OPE
7f180 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
7f1a0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
7f1c0 73 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 s_rsr_vecbase_stateArgs[].=.{...
7f1e0 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
7f200 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
7f220 41 54 45 5f 56 45 43 42 41 53 45 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 ATE_VECBASE.},.'i'.}.};..static.
7f240 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
7f260 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 lass_wsr_vecbase_args[].=.{...{.
7f280 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
7f2a0 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
7f2c0 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d iclass_wsr_vecbase_stateArgs[].=
7f2e0 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a .{...{.{.STATE_PSEXCM.},.'i'.},.
7f300 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSRING.},.'i'.},...{
7f320 20 7b 20 53 54 41 54 45 5f 56 45 43 42 41 53 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.STATE_VECBASE.},.'o'.}.};..st
7f340 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
7f360 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b xt_iclass_xsr_vecbase_args[].=.{
7f380 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'m'.}.};..
7f3a0 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
7f3c0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 73 74 61 74 65 41 72 67 s_xt_iclass_xsr_vecbase_stateArg
7f3e0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
7f400 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
7f420 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 56 45 43 42 41 53 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d ,...{.{.STATE_VECBASE.},.'m'.}.}
7f440 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
7f460 6c 61 73 73 5f 78 74 5f 6d 75 6c 31 36 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f lass_xt_mul16_args[].=.{...{.{.O
7f480 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_arr.},.'o'.},...{.{.OPERA
7f4a0 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 ND_ars.},.'i'.},...{.{.OPERAND_a
7f4c0 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'i'.}.};..static.xtensa_ar
7f4e0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 6d 75 6c 33 32 5f 61 72 67 73 5b g_internal.Iclass_xt_mul32_args[
7f500 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_arr.},.'o'.}
7f520 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ,...{.{.OPERAND_ars.},.'i'.},...
7f540 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_art.},.'i'.}.};..sta
7f560 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
7f580 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b t_iclass_mac16_aa_args[].=.{...{
7f5a0 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
7f5c0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
7f5e0 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7f600 61 73 73 5f 6d 61 63 31 36 5f 61 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_mac16_aa_stateArgs[].=.{...{
7f620 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .{.STATE_ACC.},.'o'.}.};..static
7f640 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
7f660 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 class_mac16_ad_args[].=.{...{.{.
7f680 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 OPERAND_ars.},.'i'.},...{.{.OPER
7f6a0 41 4e 44 5f 6d 79 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 AND_my.},.'i'.}.};..static.xtens
7f6c0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
7f6e0 6d 61 63 31 36 5f 61 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 mac16_ad_stateArgs[].=.{...{.{.S
7f700 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 TATE_ACC.},.'o'.}.};..static.xte
7f720 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
7f740 73 5f 6d 61 63 31 36 5f 64 61 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 s_mac16_da_args[].=.{...{.{.OPER
7f760 41 4e 44 5f 6d 78 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 AND_mx.},.'i'.},...{.{.OPERAND_a
7f780 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'i'.}.};..static.xtensa_ar
7f7a0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 g_internal.Iclass_xt_iclass_mac1
7f7c0 36 5f 64 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 6_da_stateArgs[].=.{...{.{.STATE
7f7e0 5f 41 43 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _ACC.},.'o'.}.};..static.xtensa_
7f800 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 arg_internal.Iclass_xt_iclass_ma
7f820 63 31 36 5f 64 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f c16_dd_args[].=.{...{.{.OPERAND_
7f840 6d 78 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 79 20 7d 2c mx.},.'i'.},...{.{.OPERAND_my.},
7f860 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
7f880 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 64 5f ernal.Iclass_xt_iclass_mac16_dd_
7f8a0 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 stateArgs[].=.{...{.{.STATE_ACC.
7f8c0 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'o'.}.};..static.xtensa_arg_i
7f8e0 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f nternal.Iclass_xt_iclass_mac16a_
7f900 61 61 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 aa_args[].=.{...{.{.OPERAND_ars.
7f920 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 },.'i'.},...{.{.OPERAND_art.},.'
7f940 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
7f960 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 5f 73 nal.Iclass_xt_iclass_mac16a_aa_s
7f980 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d tateArgs[].=.{...{.{.STATE_ACC.}
7f9a0 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'m'.}.};..static.xtensa_arg_in
7f9c0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 ternal.Iclass_xt_iclass_mac16a_a
7f9e0 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d d_args[].=.{...{.{.OPERAND_ars.}
7fa00 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 79 20 7d 2c 20 27 69 27 ,.'i'.},...{.{.OPERAND_my.},.'i'
7fa20 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
7fa40 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 5f 73 74 61 l.Iclass_xt_iclass_mac16a_ad_sta
7fa60 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 teArgs[].=.{...{.{.STATE_ACC.},.
7fa80 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'm'.}.};..static.xtensa_arg_inte
7faa0 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 61 5f rnal.Iclass_xt_iclass_mac16a_da_
7fac0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 78 20 7d 2c 20 27 args[].=.{...{.{.OPERAND_mx.},.'
7fae0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d i'.},...{.{.OPERAND_art.},.'i'.}
7fb00 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
7fb20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 61 5f 73 74 61 74 65 Iclass_xt_iclass_mac16a_da_state
7fb40 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d Args[].=.{...{.{.STATE_ACC.},.'m
7fb60 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
7fb80 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 5f 61 72 al.Iclass_xt_iclass_mac16a_dd_ar
7fba0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 78 20 7d 2c 20 27 69 27 gs[].=.{...{.{.OPERAND_mx.},.'i'
7fbc0 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 79 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b .},...{.{.OPERAND_my.},.'i'.}.};
7fbe0 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
7fc00 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 5f 73 74 61 74 65 41 72 67 ass_xt_iclass_mac16a_dd_stateArg
7fc20 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d s[].=.{...{.{.STATE_ACC.},.'m'.}
7fc40 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
7fc60 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 5f 61 72 67 73 Iclass_xt_iclass_mac16al_da_args
7fc80 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 77 20 7d 2c 20 27 6f 27 20 7d [].=.{...{.{.OPERAND_mw.},.'o'.}
7fca0 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 ,...{.{.OPERAND_ars.},.'m'.},...
7fcc0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 78 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f {.{.OPERAND_mx.},.'i'.},...{.{.O
7fce0 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'i'.}.};..static.x
7fd00 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
7fd20 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 ass_mac16al_da_stateArgs[].=.{..
7fd40 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.{.STATE_ACC.},.'m'.}.};..stat
7fd60 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
7fd80 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 _iclass_mac16al_dd_args[].=.{...
7fda0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 77 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f {.{.OPERAND_mw.},.'o'.},...{.{.O
7fdc0 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_ars.},.'m'.},...{.{.OPERA
7fde0 4e 44 5f 6d 78 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 79 ND_mx.},.'i'.},...{.{.OPERAND_my
7fe00 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
7fe20 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 internal.Iclass_xt_iclass_mac16a
7fe40 6c 5f 64 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 l_dd_stateArgs[].=.{...{.{.STATE
7fe60 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _ACC.},.'m'.}.};..static.xtensa_
7fe80 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 arg_internal.Iclass_xt_iclass_ma
7fea0 63 31 36 5f 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d c16_l_args[].=.{...{.{.OPERAND_m
7fec0 77 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c w.},.'o'.},...{.{.OPERAND_ars.},
7fee0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
7ff00 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 30 5f 61 72 ernal.Iclass_xt_iclass_rsr_m0_ar
7ff20 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f gs[].=.{...{.{.OPERAND_art.},.'o
7ff40 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 30 20 7d 2c 20 27 69 27 20 7d 0a '.},...{.{.OPERAND_mr0.},.'i'.}.
7ff60 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
7ff80 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 30 5f 61 72 67 73 5b 5d 20 3d 20 class_xt_iclass_wsr_m0_args[].=.
7ffa0 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 {...{.{.OPERAND_art.},.'i'.},...
7ffc0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 30 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_mr0.},.'o'.}.};..sta
7ffe0 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
80000 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b t_iclass_xsr_m0_args[].=.{...{.{
80020 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 .OPERAND_art.},.'m'.},...{.{.OPE
80040 52 41 4e 44 5f 6d 72 30 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_mr0.},.'m'.}.};..static.xte
80060 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
80080 73 5f 72 73 72 5f 6d 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e s_rsr_m1_args[].=.{...{.{.OPERAN
800a0 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 D_art.},.'o'.},...{.{.OPERAND_mr
800c0 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 1.},.'i'.}.};..static.xtensa_arg
800e0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d _internal.Iclass_xt_iclass_wsr_m
80100 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 1_args[].=.{...{.{.OPERAND_art.}
80120 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 31 20 7d 2c 20 27 6f ,.'i'.},...{.{.OPERAND_mr1.},.'o
80140 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
80160 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 31 5f 61 72 67 73 5b al.Iclass_xt_iclass_xsr_m1_args[
80180 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d ].=.{...{.{.OPERAND_art.},.'m'.}
801a0 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a ,...{.{.OPERAND_mr1.},.'m'.}.};.
801c0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
801e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 ss_xt_iclass_rsr_m2_args[].=.{..
80200 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b .{.{.OPERAND_art.},.'o'.},...{.{
80220 20 4f 50 45 52 41 4e 44 5f 6d 72 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_mr2.},.'i'.}.};..static
80240 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
80260 63 6c 61 73 73 5f 77 73 72 5f 6d 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 class_wsr_m2_args[].=.{...{.{.OP
80280 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e ERAND_art.},.'i'.},...{.{.OPERAN
802a0 44 5f 6d 72 32 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_mr2.},.'o'.}.};..static.xtensa
802c0 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
802e0 73 72 5f 6d 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 sr_m2_args[].=.{...{.{.OPERAND_a
80300 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 32 20 7d rt.},.'m'.},...{.{.OPERAND_mr2.}
80320 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'m'.}.};..static.xtensa_arg_in
80340 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 33 5f 61 ternal.Iclass_xt_iclass_rsr_m3_a
80360 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
80380 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 33 20 7d 2c 20 27 69 27 20 7d o'.},...{.{.OPERAND_mr3.},.'i'.}
803a0 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
803c0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 33 5f 61 72 67 73 5b 5d 20 3d Iclass_xt_iclass_wsr_m3_args[].=
803e0 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 .{...{.{.OPERAND_art.},.'i'.},..
80400 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 6d 72 33 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_mr3.},.'o'.}.};..st
80420 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
80440 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 33 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 xt_iclass_xsr_m3_args[].=.{...{.
80460 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 {.OPERAND_art.},.'m'.},...{.{.OP
80480 45 52 41 4e 44 5f 6d 72 33 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_mr3.},.'m'.}.};..static.xt
804a0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
804c0 73 73 5f 72 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 ss_rsr_acclo_args[].=.{...{.{.OP
804e0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'o'.}.};..static.xt
80500 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
80520 73 73 5f 72 73 72 5f 61 63 63 6c 6f 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ss_rsr_acclo_stateArgs[].=.{...{
80540 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .{.STATE_ACC.},.'i'.}.};..static
80560 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
80580 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b class_wsr_acclo_args[].=.{...{.{
805a0 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_art.},.'i'.}.};..static
805c0 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
805e0 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a class_wsr_acclo_stateArgs[].=.{.
80600 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 ..{.{.STATE_ACC.},.'m'.}.};..sta
80620 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
80640 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 t_iclass_xsr_acclo_args[].=.{...
80660 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_art.},.'m'.}.};..sta
80680 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
806a0 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d t_iclass_xsr_acclo_stateArgs[].=
806c0 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a .{...{.{.STATE_ACC.},.'m'.}.};..
806e0 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
80700 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 5f 61 72 67 73 5b 5d 20 3d 20 7b s_xt_iclass_rsr_acchi_args[].=.{
80720 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'o'.}.};..
80740 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
80760 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 5f 73 74 61 74 65 41 72 67 73 5b s_xt_iclass_rsr_acchi_stateArgs[
80780 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 69 27 20 7d 0a 7d ].=.{...{.{.STATE_ACC.},.'i'.}.}
807a0 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
807c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 5f 61 72 67 73 5b 5d 20 lass_xt_iclass_wsr_acchi_args[].
807e0 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d =.{...{.{.OPERAND_art.},.'i'.}.}
80800 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
80820 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 5f 73 74 61 74 65 41 72 lass_xt_iclass_wsr_acchi_stateAr
80840 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 6d 27 20 gs[].=.{...{.{.STATE_ACC.},.'m'.
80860 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
80880 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 68 69 5f 61 72 67 73 .Iclass_xt_iclass_xsr_acchi_args
808a0 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 [].=.{...{.{.OPERAND_art.},.'m'.
808c0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
808e0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 68 69 5f 73 74 61 74 .Iclass_xt_iclass_xsr_acchi_stat
80900 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 43 43 20 7d 2c 20 27 eArgs[].=.{...{.{.STATE_ACC.},.'
80920 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
80940 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 69 5f 61 72 67 73 5b 5d 20 nal.Iclass_xt_iclass_rfi_args[].
80960 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a =.{...{.{.OPERAND_s.},.'i'.}.};.
80980 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
809a0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 69 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b ss_xt_iclass_rfi_stateArgs[].=.{
809c0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b ...{.{.STATE_PSWOE.},.'o'.},...{
809e0 20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b .{.STATE_PSCALLINC.},.'o'.},...{
80a00 20 7b 20 53 54 41 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 .{.STATE_PSOWB.},.'o'.},...{.{.S
80a20 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'m'.},...{.{.STAT
80a40 45 5f 50 53 55 4d 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 E_PSUM.},.'o'.},...{.{.STATE_PSE
80a60 58 43 4d 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c XCM.},.'m'.},...{.{.STATE_PSINTL
80a80 45 56 45 4c 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 31 20 EVEL.},.'o'.},...{.{.STATE_EPC1.
80aa0 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 32 20 7d 2c 20 27 69 },.'i'.},...{.{.STATE_EPC2.},.'i
80ac0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 33 20 7d 2c 20 27 69 27 20 7d 2c 0a '.},...{.{.STATE_EPC3.},.'i'.},.
80ae0 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b ..{.{.STATE_EPC4.},.'i'.},...{.{
80b00 20 53 54 41 54 45 5f 45 50 43 35 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 .STATE_EPC5.},.'i'.},...{.{.STAT
80b20 45 5f 45 50 43 36 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 E_EPC6.},.'i'.},...{.{.STATE_EPC
80b40 37 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 32 20 7d 2c 20 7.},.'i'.},...{.{.STATE_EPS2.},.
80b60 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 33 20 7d 2c 20 27 69 27 20 7d 'i'.},...{.{.STATE_EPS3.},.'i'.}
80b80 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 34 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ,...{.{.STATE_EPS4.},.'i'.},...{
80ba0 20 7b 20 53 54 41 54 45 5f 45 50 53 35 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .{.STATE_EPS5.},.'i'.},...{.{.ST
80bc0 41 54 45 5f 45 50 53 36 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 ATE_EPS6.},.'i'.},...{.{.STATE_E
80be0 50 53 37 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 6e 4f 43 44 4d PS7.},.'i'.},...{.{.STATE_InOCDM
80c00 6f 64 65 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 ode.},.'m'.}.};..static.xtensa_a
80c20 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 rg_internal.Iclass_xt_iclass_wai
80c40 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 73 20 7d 2c 20 t_args[].=.{...{.{.OPERAND_s.},.
80c60 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
80c80 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 74 5f 73 74 61 74 65 rnal.Iclass_xt_iclass_wait_state
80ca0 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c Args[].=.{...{.{.STATE_PSEXCM.},
80cc0 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 .'i'.},...{.{.STATE_PSRING.},.'i
80ce0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 '.},...{.{.STATE_PSINTLEVEL.},.'
80d00 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 o'.}.};..static.xtensa_arg_inter
80d20 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 72 72 75 nal.Iclass_xt_iclass_rsr_interru
80d40 70 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 pt_args[].=.{...{.{.OPERAND_art.
80d60 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'o'.}.};..static.xtensa_arg_i
80d80 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 nternal.Iclass_xt_iclass_rsr_int
80da0 65 72 72 75 70 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 errupt_stateArgs[].=.{...{.{.STA
80dc0 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
80de0 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 PSRING.},.'i'.},...{.{.STATE_INT
80e00 45 52 52 55 50 54 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ERRUPT.},.'i'.}.};..static.xtens
80e20 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
80e40 77 73 72 5f 69 6e 74 73 65 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 wsr_intset_args[].=.{...{.{.OPER
80e60 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e AND_art.},.'i'.}.};..static.xten
80e80 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
80ea0 5f 77 73 72 5f 69 6e 74 73 65 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _wsr_intset_stateArgs[].=.{...{.
80ec0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
80ee0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
80f00 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 E_XTSYNC.},.'o'.},...{.{.STATE_I
80f20 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 NTERRUPT.},.'m'.}.};..static.xte
80f40 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
80f60 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 s_wsr_intclear_args[].=.{...{.{.
80f80 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 OPERAND_art.},.'i'.}.};..static.
80fa0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
80fc0 6c 61 73 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 lass_wsr_intclear_stateArgs[].=.
80fe0 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {...{.{.STATE_PSEXCM.},.'i'.},..
81000 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
81020 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_XTSYNC.},.'o'.},...{.{.S
81040 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 TATE_INTERRUPT.},.'m'.}.};..stat
81060 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
81080 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b _iclass_rsr_intenable_args[].=.{
810a0 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'o'.}.};..
810c0 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
810e0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 s_xt_iclass_rsr_intenable_stateA
81100 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
81120 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
81140 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 .},...{.{.STATE_INTENABLE.},.'i'
81160 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
81180 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 l.Iclass_xt_iclass_wsr_intenable
811a0 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
811c0 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
811e0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 65 6e ernal.Iclass_xt_iclass_wsr_inten
81200 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 able_stateArgs[].=.{...{.{.STATE
81220 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
81240 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 4e RING.},.'i'.},...{.{.STATE_INTEN
81260 41 42 4c 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f ABLE.},.'o'.}.};..static.xtensa_
81280 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
812a0 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 r_intenable_args[].=.{...{.{.OPE
812c0 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'m'.}.};..static.xte
812e0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
81300 73 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a s_xsr_intenable_stateArgs[].=.{.
81320 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
81340 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
81360 53 54 41 54 45 5f 49 4e 54 45 4e 41 42 4c 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 STATE_INTENABLE.},.'m'.}.};..sta
81380 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
813a0 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 6b 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 t_iclass_break_args[].=.{...{.{.
813c0 4f 50 45 52 41 4e 44 5f 69 6d 6d 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 OPERAND_imms.},.'i'.},...{.{.OPE
813e0 52 41 4e 44 5f 69 6d 6d 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 RAND_immt.},.'i'.}.};..static.xt
81400 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
81420 73 73 5f 62 72 65 61 6b 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 ss_break_stateArgs[].=.{...{.{.S
81440 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSEXCM.},.'i'.},...{.{.STAT
81460 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 E_PSINTLEVEL.},.'i'.}.};..static
81480 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
814a0 63 6c 61 73 73 5f 62 72 65 61 6b 5f 6e 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f class_break_n_args[].=.{...{.{.O
814c0 50 45 52 41 4e 44 5f 69 6d 6d 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 PERAND_imms.},.'i'.}.};..static.
814e0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
81500 6c 61 73 73 5f 62 72 65 61 6b 5f 6e 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b lass_break_n_stateArgs[].=.{...{
81520 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSEXCM.},.'i'.},...{.{.
81540 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 STATE_PSINTLEVEL.},.'i'.}.};..st
81560 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
81580 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 72 67 73 5b 5d 20 3d 20 xt_iclass_rsr_dbreaka0_args[].=.
815a0 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'o'.}.};.
815c0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
815e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 ss_xt_iclass_rsr_dbreaka0_stateA
81600 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
81620 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
81640 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 41 30 20 7d 2c 20 27 69 27 20 .},...{.{.STATE_DBREAKA0.},.'i'.
81660 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
81680 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 .Iclass_xt_iclass_wsr_dbreaka0_a
816a0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
816c0 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
816e0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 nal.Iclass_xt_iclass_wsr_dbreaka
81700 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 0_stateArgs[].=.{...{.{.STATE_PS
81720 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
81740 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 41 30 G.},.'i'.},...{.{.STATE_DBREAKA0
81760 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c .},.'o'.},...{.{.STATE_XTSYNC.},
81780 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'o'.}.};..static.xtensa_arg_int
817a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 ernal.Iclass_xt_iclass_xsr_dbrea
817c0 6b 61 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 ka0_args[].=.{...{.{.OPERAND_art
817e0 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'m'.}.};..static.xtensa_arg_
81800 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 internal.Iclass_xt_iclass_xsr_db
81820 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 reaka0_stateArgs[].=.{...{.{.STA
81840 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
81860 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 PSRING.},.'i'.},...{.{.STATE_DBR
81880 45 41 4b 41 30 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 EAKA0.},.'m'.},...{.{.STATE_XTSY
818a0 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NC.},.'o'.}.};..static.xtensa_ar
818c0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
818e0 64 62 72 65 61 6b 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e dbreakc0_args[].=.{...{.{.OPERAN
81900 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
81920 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
81940 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 sr_dbreakc0_stateArgs[].=.{...{.
81960 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
81980 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
819a0 45 5f 44 42 52 45 41 4b 43 30 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 E_DBREAKC0.},.'i'.}.};..static.x
819c0 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
819e0 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 ass_wsr_dbreakc0_args[].=.{...{.
81a00 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_art.},.'i'.}.};..stati
81a20 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
81a40 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_wsr_dbreakc0_stateArgs[].
81a60 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
81a80 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
81aa0 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 30 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b {.{.STATE_DBREAKC0.},.'o'.},...{
81ac0 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 .{.STATE_XTSYNC.},.'o'.}.};..sta
81ae0 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
81b00 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 61 72 67 73 5b 5d 20 3d 20 7b t_iclass_xsr_dbreakc0_args[].=.{
81b20 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'m'.}.};..
81b40 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
81b60 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 s_xt_iclass_xsr_dbreakc0_stateAr
81b80 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 gs[].=.{...{.{.STATE_PSEXCM.},.'
81ba0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 i'.},...{.{.STATE_PSRING.},.'i'.
81bc0 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 30 20 7d 2c 20 27 6d 27 20 7d },...{.{.STATE_DBREAKC0.},.'m'.}
81be0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b ,...{.{.STATE_XTSYNC.},.'o'.}.};
81c00 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
81c20 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 31 5f 61 72 67 73 5b ass_xt_iclass_rsr_dbreaka1_args[
81c40 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_art.},.'o'.}
81c60 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
81c80 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 Iclass_xt_iclass_rsr_dbreaka1_st
81ca0 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d ateArgs[].=.{...{.{.STATE_PSEXCM
81cc0 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c .},.'i'.},...{.{.STATE_PSRING.},
81ce0 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 41 31 20 7d 2c 20 .'i'.},...{.{.STATE_DBREAKA1.},.
81d00 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
81d20 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b rnal.Iclass_xt_iclass_wsr_dbreak
81d40 61 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 a1_args[].=.{...{.{.OPERAND_art.
81d60 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
81d80 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 nternal.Iclass_xt_iclass_wsr_dbr
81da0 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 eaka1_stateArgs[].=.{...{.{.STAT
81dc0 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
81de0 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 SRING.},.'i'.},...{.{.STATE_DBRE
81e00 41 4b 41 31 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e AKA1.},.'o'.},...{.{.STATE_XTSYN
81e20 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 C.},.'o'.}.};..static.xtensa_arg
81e40 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 _internal.Iclass_xt_iclass_xsr_d
81e60 62 72 65 61 6b 61 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 breaka1_args[].=.{...{.{.OPERAND
81e80 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'m'.}.};..static.xtensa_
81ea0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
81ec0 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b r_dbreaka1_stateArgs[].=.{...{.{
81ee0 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSEXCM.},.'i'.},...{.{.ST
81f00 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSRING.},.'i'.},...{.{.STATE
81f20 5f 44 42 52 45 41 4b 41 31 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f _DBREAKA1.},.'m'.},...{.{.STATE_
81f40 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 XTSYNC.},.'o'.}.};..static.xtens
81f60 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
81f80 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 rsr_dbreakc1_args[].=.{...{.{.OP
81fa0 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'o'.}.};..static.xt
81fc0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
81fe0 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a ss_rsr_dbreakc1_stateArgs[].=.{.
82000 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
82020 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
82040 53 54 41 54 45 5f 44 42 52 45 41 4b 43 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_DBREAKC1.},.'i'.}.};..stat
82060 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
82080 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a _iclass_wsr_dbreakc1_args[].=.{.
820a0 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
820c0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
820e0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 73 74 61 74 65 41 72 67 _xt_iclass_wsr_dbreakc1_stateArg
82100 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
82120 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
82140 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 31 20 7d 2c 20 27 6f 27 20 7d 2c ,...{.{.STATE_DBREAKC1.},.'o'.},
82160 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a ...{.{.STATE_XTSYNC.},.'o'.}.};.
82180 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
821a0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 5b 5d ss_xt_iclass_xsr_dbreakc1_args[]
821c0 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a .=.{...{.{.OPERAND_art.},.'m'.}.
821e0 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
82200 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 31 5f 73 74 61 class_xt_iclass_xsr_dbreakc1_sta
82220 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 teArgs[].=.{...{.{.STATE_PSEXCM.
82240 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 },.'i'.},...{.{.STATE_PSRING.},.
82260 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 52 45 41 4b 43 31 20 7d 2c 20 27 'i'.},...{.{.STATE_DBREAKC1.},.'
82280 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 m'.},...{.{.STATE_XTSYNC.},.'o'.
822a0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
822c0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 61 .Iclass_xt_iclass_rsr_ibreaka0_a
822e0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
82300 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 o'.}.};..static.xtensa_arg_inter
82320 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 nal.Iclass_xt_iclass_rsr_ibreaka
82340 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 0_stateArgs[].=.{...{.{.STATE_PS
82360 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
82380 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 30 G.},.'i'.},...{.{.STATE_IBREAKA0
823a0 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
823c0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 internal.Iclass_xt_iclass_wsr_ib
823e0 72 65 61 6b 61 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f reaka0_args[].=.{...{.{.OPERAND_
82400 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'i'.}.};..static.xtensa_a
82420 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rg_internal.Iclass_xt_iclass_wsr
82440 5f 69 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 _ibreaka0_stateArgs[].=.{...{.{.
82460 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
82480 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
824a0 49 42 52 45 41 4b 41 30 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 IBREAKA0.},.'o'.}.};..static.xte
824c0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
824e0 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 s_xsr_ibreaka0_args[].=.{...{.{.
82500 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 OPERAND_art.},.'m'.}.};..static.
82520 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
82540 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 lass_xsr_ibreaka0_stateArgs[].=.
82560 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {...{.{.STATE_PSEXCM.},.'i'.},..
82580 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
825a0 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 30 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 {.STATE_IBREAKA0.},.'m'.}.};..st
825c0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
825e0 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 5f 61 72 67 73 5b 5d 20 3d 20 xt_iclass_rsr_ibreaka1_args[].=.
82600 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'o'.}.};.
82620 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
82640 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 ss_xt_iclass_rsr_ibreaka1_stateA
82660 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
82680 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
826a0 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 31 20 7d 2c 20 27 69 27 20 .},...{.{.STATE_IBREAKA1.},.'i'.
826c0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
826e0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 5f 61 .Iclass_xt_iclass_wsr_ibreaka1_a
82700 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
82720 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
82740 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 nal.Iclass_xt_iclass_wsr_ibreaka
82760 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 1_stateArgs[].=.{...{.{.STATE_PS
82780 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
827a0 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 41 31 G.},.'i'.},...{.{.STATE_IBREAKA1
827c0 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
827e0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 internal.Iclass_xt_iclass_xsr_ib
82800 72 65 61 6b 61 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f reaka1_args[].=.{...{.{.OPERAND_
82820 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'m'.}.};..static.xtensa_a
82840 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rg_internal.Iclass_xt_iclass_xsr
82860 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 _ibreaka1_stateArgs[].=.{...{.{.
82880 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
828a0 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
828c0 49 42 52 45 41 4b 41 31 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 IBREAKA1.},.'m'.}.};..static.xte
828e0 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
82900 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 s_rsr_ibreakenable_args[].=.{...
82920 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_art.},.'o'.}.};..sta
82940 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
82960 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 t_iclass_rsr_ibreakenable_stateA
82980 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
829a0 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
829c0 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 20 7d 2c 20 .},...{.{.STATE_IBREAKENABLE.},.
829e0 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'i'.}.};..static.xtensa_arg_inte
82a00 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b rnal.Iclass_xt_iclass_wsr_ibreak
82a20 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f enable_args[].=.{...{.{.OPERAND_
82a40 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'i'.}.};..static.xtensa_a
82a60 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rg_internal.Iclass_xt_iclass_wsr
82a80 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 _ibreakenable_stateArgs[].=.{...
82aa0 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
82ac0 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
82ae0 41 54 45 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 ATE_IBREAKENABLE.},.'o'.}.};..st
82b00 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
82b20 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 61 72 67 73 5b xt_iclass_xsr_ibreakenable_args[
82b40 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d ].=.{...{.{.OPERAND_art.},.'m'.}
82b60 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
82b80 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c Iclass_xt_iclass_xsr_ibreakenabl
82ba0 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 e_stateArgs[].=.{...{.{.STATE_PS
82bc0 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
82be0 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 42 52 45 41 4b 45 4e G.},.'i'.},...{.{.STATE_IBREAKEN
82c00 41 42 4c 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f ABLE.},.'m'.}.};..static.xtensa_
82c20 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
82c40 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 r_debugcause_args[].=.{...{.{.OP
82c60 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'o'.}.};..static.xt
82c80 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
82ca0 73 73 5f 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 ss_rsr_debugcause_stateArgs[].=.
82cc0 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {...{.{.STATE_PSEXCM.},.'i'.},..
82ce0 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
82d00 7b 20 53 54 41 54 45 5f 44 45 42 55 47 43 41 55 53 45 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b {.STATE_DEBUGCAUSE.},.'i'.},...{
82d20 20 7b 20 53 54 41 54 45 5f 44 42 4e 55 4d 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.STATE_DBNUM.},.'i'.}.};..stat
82d40 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
82d60 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 67 73 5b 5d 20 3d 20 _iclass_wsr_debugcause_args[].=.
82d80 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'i'.}.};.
82da0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
82dc0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 73 74 61 74 ss_xt_iclass_wsr_debugcause_stat
82de0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
82e00 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
82e20 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 42 55 47 43 41 55 53 45 20 7d 2c 20 i'.},...{.{.STATE_DEBUGCAUSE.},.
82e40 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 4e 55 4d 20 7d 2c 20 27 6f 27 20 'o'.},...{.{.STATE_DBNUM.},.'o'.
82e60 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
82e80 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 .Iclass_xt_iclass_xsr_debugcause
82ea0 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
82ec0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
82ee0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 62 75 67 ernal.Iclass_xt_iclass_xsr_debug
82f00 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 cause_stateArgs[].=.{...{.{.STAT
82f20 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
82f40 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 45 42 55 SRING.},.'i'.},...{.{.STATE_DEBU
82f60 47 43 41 55 53 45 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 42 4e GCAUSE.},.'m'.},...{.{.STATE_DBN
82f80 55 4d 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 UM.},.'m'.}.};..static.xtensa_ar
82fa0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
82fc0 69 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f icount_args[].=.{...{.{.OPERAND_
82fe0 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'o'.}.};..static.xtensa_a
83000 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 rg_internal.Iclass_xt_iclass_rsr
83020 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 _icount_stateArgs[].=.{...{.{.ST
83040 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
83060 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 43 _PSRING.},.'i'.},...{.{.STATE_IC
83080 4f 55 4e 54 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f OUNT.},.'i'.}.};..static.xtensa_
830a0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 arg_internal.Iclass_xt_iclass_ws
830c0 72 5f 69 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e r_icount_args[].=.{...{.{.OPERAN
830e0 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'i'.}.};..static.xtensa
83100 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _arg_internal.Iclass_xt_iclass_w
83120 73 72 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 sr_icount_stateArgs[].=.{...{.{.
83140 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
83160 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
83180 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 43 4f XTSYNC.},.'o'.},...{.{.STATE_ICO
831a0 55 4e 54 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 UNT.},.'o'.}.};..static.xtensa_a
831c0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rg_internal.Iclass_xt_iclass_xsr
831e0 5f 69 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 _icount_args[].=.{...{.{.OPERAND
83200 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'m'.}.};..static.xtensa_
83220 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
83240 72 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 r_icount_stateArgs[].=.{...{.{.S
83260 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSEXCM.},.'i'.},...{.{.STAT
83280 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 E_PSRING.},.'i'.},...{.{.STATE_X
832a0 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 43 4f 55 TSYNC.},.'o'.},...{.{.STATE_ICOU
832c0 4e 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NT.},.'m'.}.};..static.xtensa_ar
832e0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
83300 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 icountlevel_args[].=.{...{.{.OPE
83320 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'o'.}.};..static.xte
83340 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
83360 73 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 s_rsr_icountlevel_stateArgs[].=.
83380 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 {...{.{.STATE_PSEXCM.},.'i'.},..
833a0 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
833c0 7b 20 53 54 41 54 45 5f 49 43 4f 55 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a {.STATE_ICOUNTLEVEL.},.'i'.}.};.
833e0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
83400 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 ss_xt_iclass_wsr_icountlevel_arg
83420 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 s[].=.{...{.{.OPERAND_art.},.'i'
83440 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
83460 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 l.Iclass_xt_iclass_wsr_icountlev
83480 65 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 el_stateArgs[].=.{...{.{.STATE_P
834a0 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'i'.},...{.{.STATE_PSRI
834c0 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 43 4f 55 4e 54 4c NG.},.'i'.},...{.{.STATE_ICOUNTL
834e0 45 56 45 4c 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f EVEL.},.'o'.}.};..static.xtensa_
83500 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
83520 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f r_icountlevel_args[].=.{...{.{.O
83540 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 PERAND_art.},.'m'.}.};..static.x
83560 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
83580 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 ass_xsr_icountlevel_stateArgs[].
835a0 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c =.{...{.{.STATE_PSEXCM.},.'i'.},
835c0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSRING.},.'i'.},...
835e0 7b 20 7b 20 53 54 41 54 45 5f 49 43 4f 55 4e 54 4c 45 56 45 4c 20 7d 2c 20 27 6d 27 20 7d 0a 7d {.{.STATE_ICOUNTLEVEL.},.'m'.}.}
83600 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
83620 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 lass_xt_iclass_rsr_ddr_args[].=.
83640 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'o'.}.};.
83660 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
83680 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d ss_xt_iclass_rsr_ddr_stateArgs[]
836a0 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d .=.{...{.{.STATE_PSEXCM.},.'i'.}
836c0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 ,...{.{.STATE_PSRING.},.'i'.},..
836e0 20 7b 20 7b 20 53 54 41 54 45 5f 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.{.STATE_DDR.},.'i'.}.};..stat
83700 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
83720 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b _iclass_wsr_ddr_args[].=.{...{.{
83740 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_art.},.'i'.}.};..static
83760 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
83780 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 class_wsr_ddr_stateArgs[].=.{...
837a0 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
837c0 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
837e0 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_XTSYNC.},.'o'.},...{.{.STATE
83800 5f 44 44 52 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _DDR.},.'o'.}.};..static.xtensa_
83820 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
83840 72 5f 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 r_ddr_args[].=.{...{.{.OPERAND_a
83860 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'m'.}.};..static.xtensa_ar
83880 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
838a0 64 64 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ddr_stateArgs[].=.{...{.{.STATE_
838c0 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
838e0 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 ING.},.'i'.},...{.{.STATE_XTSYNC
83900 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 44 52 20 7d 2c 20 27 6d .},.'o'.},...{.{.STATE_DDR.},.'m
83920 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
83940 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 6f 5f 61 72 67 73 5b 5d 20 al.Iclass_xt_iclass_rfdo_args[].
83960 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 69 6d 6d 73 20 7d 2c 20 27 69 27 20 7d 0a =.{...{.{.OPERAND_imms.},.'i'.}.
83980 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
839a0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 6f 5f 73 74 61 74 65 41 72 67 73 5b 5d class_xt_iclass_rfdo_stateArgs[]
839c0 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 6e 4f 43 44 4d 6f 64 65 20 7d 2c 20 27 6d .=.{...{.{.STATE_InOCDMode.},.'m
839e0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 43 36 20 7d 2c 20 27 69 27 20 7d 2c 0a '.},...{.{.STATE_EPC6.},.'i'.},.
83a00 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 57 4f 45 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 ..{.{.STATE_PSWOE.},.'o'.},...{.
83a20 7b 20 53 54 41 54 45 5f 50 53 43 41 4c 4c 49 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 {.STATE_PSCALLINC.},.'o'.},...{.
83a40 7b 20 53 54 41 54 45 5f 50 53 4f 57 42 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 {.STATE_PSOWB.},.'o'.},...{.{.ST
83a60 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSRING.},.'o'.},...{.{.STATE
83a80 5f 50 53 55 4d 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 _PSUM.},.'o'.},...{.{.STATE_PSEX
83aa0 43 4d 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 49 4e 54 4c 45 CM.},.'o'.},...{.{.STATE_PSINTLE
83ac0 56 45 4c 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 50 53 36 20 7d VEL.},.'o'.},...{.{.STATE_EPS6.}
83ae0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
83b00 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 5f 73 74 61 ternal.Iclass_xt_iclass_rfdd_sta
83b20 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 6e 4f 43 44 4d 6f teArgs[].=.{...{.{.STATE_InOCDMo
83b40 64 65 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 de.},.'m'.}.};..static.xtensa_ar
83b60 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
83b80 6d 6d 69 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 mmid_args[].=.{...{.{.OPERAND_ar
83ba0 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
83bc0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d _internal.Iclass_xt_iclass_wsr_m
83be0 6d 69 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f mid_stateArgs[].=.{...{.{.STATE_
83c00 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
83c20 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 ING.},.'i'.},...{.{.STATE_XTSYNC
83c40 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'o'.}.};..static.xtensa_arg_
83c60 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 internal.Iclass_xt_iclass_rsr_cc
83c80 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 ount_args[].=.{...{.{.OPERAND_ar
83ca0 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'o'.}.};..static.xtensa_arg
83cc0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 _internal.Iclass_xt_iclass_rsr_c
83ce0 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 count_stateArgs[].=.{...{.{.STAT
83d00 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
83d20 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 55 SRING.},.'i'.},...{.{.STATE_CCOU
83d40 4e 54 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NT.},.'i'.}.};..static.xtensa_ar
83d60 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
83d80 63 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f ccount_args[].=.{...{.{.OPERAND_
83da0 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 art.},.'i'.}.};..static.xtensa_a
83dc0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rg_internal.Iclass_xt_iclass_wsr
83de0 5f 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 _ccount_stateArgs[].=.{...{.{.ST
83e00 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
83e20 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 _PSRING.},.'i'.},...{.{.STATE_XT
83e40 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 55 4e SYNC.},.'o'.},...{.{.STATE_CCOUN
83e60 54 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 T.},.'o'.}.};..static.xtensa_arg
83e80 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 _internal.Iclass_xt_iclass_xsr_c
83ea0 63 6f 75 6e 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 count_args[].=.{...{.{.OPERAND_a
83ec0 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rt.},.'m'.}.};..static.xtensa_ar
83ee0 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f g_internal.Iclass_xt_iclass_xsr_
83f00 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 ccount_stateArgs[].=.{...{.{.STA
83f20 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
83f40 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 PSRING.},.'i'.},...{.{.STATE_XTS
83f60 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 55 4e 54 YNC.},.'o'.},...{.{.STATE_CCOUNT
83f80 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'m'.}.};..static.xtensa_arg_
83fa0 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 internal.Iclass_xt_iclass_rsr_cc
83fc0 6f 6d 70 61 72 65 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 ompare0_args[].=.{...{.{.OPERAND
83fe0 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'o'.}.};..static.xtensa_
84000 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 arg_internal.Iclass_xt_iclass_rs
84020 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 r_ccompare0_stateArgs[].=.{...{.
84040 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
84060 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
84080 45 5f 43 43 4f 4d 50 41 52 45 30 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 E_CCOMPARE0.},.'i'.}.};..static.
840a0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
840c0 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 lass_wsr_ccompare0_args[].=.{...
840e0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 {.{.OPERAND_art.},.'i'.}.};..sta
84100 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
84120 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 73 t_iclass_wsr_ccompare0_stateArgs
84140 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 [].=.{...{.{.STATE_PSEXCM.},.'i'
84160 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c .},...{.{.STATE_PSRING.},.'i'.},
84180 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 30 20 7d 2c 20 27 6f 27 20 7d 2c ...{.{.STATE_CCOMPARE0.},.'o'.},
841a0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 7d 0a ...{.{.STATE_INTERRUPT.},.'m'.}.
841c0 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
841e0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 61 72 class_xt_iclass_xsr_ccompare0_ar
84200 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d gs[].=.{...{.{.OPERAND_art.},.'m
84220 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
84240 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 al.Iclass_xt_iclass_xsr_ccompare
84260 30 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 0_stateArgs[].=.{...{.{.STATE_PS
84280 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
842a0 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 G.},.'i'.},...{.{.STATE_CCOMPARE
842c0 30 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 0.},.'m'.},...{.{.STATE_INTERRUP
842e0 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 T.},.'m'.}.};..static.xtensa_arg
84300 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 _internal.Iclass_xt_iclass_rsr_c
84320 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e compare1_args[].=.{...{.{.OPERAN
84340 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'o'.}.};..static.xtensa
84360 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _arg_internal.Iclass_xt_iclass_r
84380 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b sr_ccompare1_stateArgs[].=.{...{
843a0 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSEXCM.},.'i'.},...{.{.
843c0 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSRING.},.'i'.},...{.{.STA
843e0 54 45 5f 43 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 TE_CCOMPARE1.},.'i'.}.};..static
84400 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
84420 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 class_wsr_ccompare1_args[].=.{..
84440 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'i'.}.};..st
84460 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
84480 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 xt_iclass_wsr_ccompare1_stateArg
844a0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
844c0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
844e0 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 6f 27 20 7d ,...{.{.STATE_CCOMPARE1.},.'o'.}
84500 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 7d ,...{.{.STATE_INTERRUPT.},.'m'.}
84520 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
84540 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 Iclass_xt_iclass_xsr_ccompare1_a
84560 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
84580 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
845a0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 nal.Iclass_xt_iclass_xsr_ccompar
845c0 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 e1_stateArgs[].=.{...{.{.STATE_P
845e0 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'i'.},...{.{.STATE_PSRI
84600 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 NG.},.'i'.},...{.{.STATE_CCOMPAR
84620 45 31 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 E1.},.'m'.},...{.{.STATE_INTERRU
84640 50 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 PT.},.'m'.}.};..static.xtensa_ar
84660 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f g_internal.Iclass_xt_iclass_rsr_
84680 63 63 6f 6d 70 61 72 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 ccompare2_args[].=.{...{.{.OPERA
846a0 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'o'.}.};..static.xtens
846c0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
846e0 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 rsr_ccompare2_stateArgs[].=.{...
84700 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
84720 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSRING.},.'i'.},...{.{.ST
84740 41 54 45 5f 43 43 4f 4d 50 41 52 45 32 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 ATE_CCOMPARE2.},.'i'.}.};..stati
84760 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
84780 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a iclass_wsr_ccompare2_args[].=.{.
847a0 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
847c0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
847e0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 _xt_iclass_wsr_ccompare2_stateAr
84800 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 gs[].=.{...{.{.STATE_PSEXCM.},.'
84820 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 i'.},...{.{.STATE_PSRING.},.'i'.
84840 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 52 45 32 20 7d 2c 20 27 6f 27 20 },...{.{.STATE_CCOMPARE2.},.'o'.
84860 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 55 50 54 20 7d 2c 20 27 6d 27 20 },...{.{.STATE_INTERRUPT.},.'m'.
84880 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
848a0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f .Iclass_xt_iclass_xsr_ccompare2_
848c0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
848e0 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'm'.}.};..static.xtensa_arg_inte
84900 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 rnal.Iclass_xt_iclass_xsr_ccompa
84920 72 65 32 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f re2_stateArgs[].=.{...{.{.STATE_
84940 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 PSEXCM.},.'i'.},...{.{.STATE_PSR
84960 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 43 4f 4d 50 41 ING.},.'i'.},...{.{.STATE_CCOMPA
84980 52 45 32 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 54 45 52 52 RE2.},.'m'.},...{.{.STATE_INTERR
849a0 55 50 54 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 UPT.},.'m'.}.};..static.xtensa_a
849c0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 rg_internal.Iclass_xt_iclass_ica
849e0 63 68 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 che_args[].=.{...{.{.OPERAND_ars
84a00 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 .},.'i'.},...{.{.OPERAND_uimm8x4
84a20 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
84a40 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 internal.Iclass_xt_iclass_icache
84a60 5f 6c 6f 63 6b 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 _lock_args[].=.{...{.{.OPERAND_a
84a80 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 34 rs.},.'i'.},...{.{.OPERAND_uimm4
84aa0 78 31 36 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 x16.},.'i'.}.};..static.xtensa_a
84ac0 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 rg_internal.Iclass_xt_iclass_ica
84ae0 63 68 65 5f 6c 6f 63 6b 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 che_lock_stateArgs[].=.{...{.{.S
84b00 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSEXCM.},.'i'.},...{.{.STAT
84b20 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 E_PSRING.},.'i'.}.};..static.xte
84b40 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
84b60 73 5f 69 63 61 63 68 65 5f 69 6e 76 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 s_icache_inv_args[].=.{...{.{.OP
84b80 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e ERAND_ars.},.'i'.},...{.{.OPERAN
84ba0 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 D_uimm8x4.},.'i'.}.};..static.xt
84bc0 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
84be0 73 73 5f 69 63 61 63 68 65 5f 69 6e 76 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 ss_icache_inv_stateArgs[].=.{...
84c00 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_PSEXCM.},.'i'.},...{.{
84c20 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 .STATE_PSRING.},.'i'.}.};..stati
84c40 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
84c60 69 63 6c 61 73 73 5f 6c 69 63 78 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 iclass_licx_args[].=.{...{.{.OPE
84c80 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 RAND_art.},.'o'.},...{.{.OPERAND
84ca0 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _ars.},.'i'.}.};..static.xtensa_
84cc0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 arg_internal.Iclass_xt_iclass_li
84ce0 63 78 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 cx_stateArgs[].=.{...{.{.STATE_P
84d00 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 SEXCM.},.'i'.},...{.{.STATE_PSRI
84d20 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 NG.},.'i'.}.};..static.xtensa_ar
84d40 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 g_internal.Iclass_xt_iclass_sicx
84d60 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c _args[].=.{...{.{.OPERAND_art.},
84d80 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 .'i'.},...{.{.OPERAND_ars.},.'i'
84da0 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
84dc0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 5f 73 74 61 74 65 41 72 67 l.Iclass_xt_iclass_sicx_stateArg
84de0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 s[].=.{...{.{.STATE_PSEXCM.},.'i
84e00 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSRING.},.'i'.}
84e20 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
84e40 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 61 72 67 73 5b 5d 20 3d Iclass_xt_iclass_dcache_args[].=
84e60 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 .{...{.{.OPERAND_ars.},.'i'.},..
84e80 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b .{.{.OPERAND_uimm8x4.},.'i'.}.};
84ea0 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c ..static.xtensa_arg_internal.Icl
84ec0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 64 79 6e 5f 61 72 67 73 5b 5d 20 ass_xt_iclass_dcache_dyn_args[].
84ee0 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 6d 27 20 7d 0a 7d =.{...{.{.OPERAND_ars.},.'m'.}.}
84f00 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 ;..static.xtensa_arg_internal.Ic
84f20 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 64 79 6e 5f 73 74 61 74 65 41 lass_xt_iclass_dcache_dyn_stateA
84f40 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
84f60 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
84f80 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
84fa0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 5f 61 72 l.Iclass_xt_iclass_dcache_ind_ar
84fc0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 gs[].=.{...{.{.OPERAND_ars.},.'i
84fe0 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 34 78 31 36 20 7d 2c 20 27 '.},...{.{.OPERAND_uimm4x16.},.'
85000 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
85020 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 5f nal.Iclass_xt_iclass_dcache_ind_
85040 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
85060 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
85080 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
850a0 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f nternal.Iclass_xt_iclass_dcache_
850c0 69 6e 76 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 inv_args[].=.{...{.{.OPERAND_ars
850e0 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 .},.'i'.},...{.{.OPERAND_uimm8x4
85100 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
85120 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 internal.Iclass_xt_iclass_dcache
85140 5f 69 6e 76 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 _inv_stateArgs[].=.{...{.{.STATE
85160 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
85180 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f RING.},.'i'.}.};..static.xtensa_
851a0 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 70 arg_internal.Iclass_xt_iclass_dp
851c0 66 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d f_args[].=.{...{.{.OPERAND_ars.}
851e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d ,.'i'.},...{.{.OPERAND_uimm8x4.}
85200 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
85220 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c ternal.Iclass_xt_iclass_dcache_l
85240 6f 63 6b 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 ock_args[].=.{...{.{.OPERAND_ars
85260 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 34 78 31 .},.'i'.},...{.{.OPERAND_uimm4x1
85280 36 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 6.},.'i'.}.};..static.xtensa_arg
852a0 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 _internal.Iclass_xt_iclass_dcach
852c0 65 5f 6c 6f 63 6b 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 e_lock_stateArgs[].=.{...{.{.STA
852e0 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSEXCM.},.'i'.},...{.{.STATE_
85300 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 PSRING.},.'i'.}.};..static.xtens
85320 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
85340 73 64 63 74 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 sdct_args[].=.{...{.{.OPERAND_ar
85360 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c t.},.'i'.},...{.{.OPERAND_ars.},
85380 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'i'.}.};..static.xtensa_arg_int
853a0 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 64 63 74 5f 73 74 61 74 ernal.Iclass_xt_iclass_sdct_stat
853c0 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d eArgs[].=.{...{.{.STATE_PSEXCM.}
853e0 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 ,.'i'.},...{.{.STATE_PSRING.},.'
85400 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
85420 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 74 5f 61 72 67 73 5b 5d nal.Iclass_xt_iclass_ldct_args[]
85440 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c .=.{...{.{.OPERAND_art.},.'o'.},
85460 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_ars.},.'i'.}.};..
85480 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
854a0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 74 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b s_xt_iclass_ldct_stateArgs[].=.{
854c0 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSEXCM.},.'i'.},...
854e0 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 {.{.STATE_PSRING.},.'i'.}.};..st
85500 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
85520 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 61 72 67 73 5b 5d 20 3d 20 xt_iclass_wsr_ptevaddr_args[].=.
85540 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'i'.}.};.
85560 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
85580 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 73 74 61 74 65 41 ss_xt_iclass_wsr_ptevaddr_stateA
855a0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
855c0 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
855e0 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 54 42 41 53 45 20 7d 2c 20 27 6f 27 20 7d 2c .},...{.{.STATE_PTBASE.},.'o'.},
85600 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a ...{.{.STATE_XTSYNC.},.'o'.}.};.
85620 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
85640 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 61 72 67 73 5b 5d ss_xt_iclass_rsr_ptevaddr_args[]
85660 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a .=.{...{.{.OPERAND_art.},.'o'.}.
85680 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
856a0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 73 74 61 class_xt_iclass_rsr_ptevaddr_sta
856c0 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 teArgs[].=.{...{.{.STATE_PSEXCM.
856e0 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 },.'i'.},...{.{.STATE_PSRING.},.
85700 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 54 42 41 53 45 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PTBASE.},.'i'
85720 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 .},...{.{.STATE_EXCVADDR.},.'i'.
85740 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
85760 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 72 5f 61 .Iclass_xt_iclass_xsr_ptevaddr_a
85780 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
857a0 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
857c0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 nal.Iclass_xt_iclass_xsr_ptevadd
857e0 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 r_stateArgs[].=.{...{.{.STATE_PS
85800 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
85820 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 54 42 41 53 45 20 7d G.},.'i'.},...{.{.STATE_PTBASE.}
85840 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c ,.'m'.},...{.{.STATE_EXCVADDR.},
85860 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f .'i'.},...{.{.STATE_XTSYNC.},.'o
85880 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
858a0 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 5f 61 72 al.Iclass_xt_iclass_rsr_rasid_ar
858c0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f gs[].=.{...{.{.OPERAND_art.},.'o
858e0 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
85900 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 5f 73 74 al.Iclass_xt_iclass_rsr_rasid_st
85920 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d ateArgs[].=.{...{.{.STATE_PSEXCM
85940 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c .},.'i'.},...{.{.STATE_PSRING.},
85960 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 33 20 7d 2c 20 27 69 27 .'i'.},...{.{.STATE_ASID3.},.'i'
85980 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 32 20 7d 2c 20 27 69 27 20 7d 2c 0a .},...{.{.STATE_ASID2.},.'i'.},.
859a0 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.STATE_ASID1.},.'i'.}.};..s
859c0 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
859e0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a _xt_iclass_wsr_rasid_args[].=.{.
85a00 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_art.},.'i'.}.};..s
85a20 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
85a40 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 5f 73 74 61 74 65 41 72 67 73 5b 5d _xt_iclass_wsr_rasid_stateArgs[]
85a60 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d .=.{...{.{.STATE_XTSYNC.},.'o'.}
85a80 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 ,...{.{.STATE_PSEXCM.},.'i'.},..
85aa0 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_PSRING.},.'i'.},...{.
85ac0 7b 20 53 54 41 54 45 5f 41 53 49 44 33 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 {.STATE_ASID3.},.'o'.},...{.{.ST
85ae0 41 54 45 5f 41 53 49 44 32 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f ATE_ASID2.},.'o'.},...{.{.STATE_
85b00 41 53 49 44 31 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 ASID1.},.'o'.}.};..static.xtensa
85b20 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
85b40 73 72 5f 72 61 73 69 64 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e sr_rasid_args[].=.{...{.{.OPERAN
85b60 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 D_art.},.'m'.}.};..static.xtensa
85b80 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _arg_internal.Iclass_xt_iclass_x
85ba0 73 72 5f 72 61 73 69 64 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 sr_rasid_stateArgs[].=.{...{.{.S
85bc0 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_XTSYNC.},.'o'.},...{.{.STAT
85be0 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 E_PSEXCM.},.'i'.},...{.{.STATE_P
85c00 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 SRING.},.'i'.},...{.{.STATE_ASID
85c20 33 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 32 20 7d 2c 3.},.'m'.},...{.{.STATE_ASID2.},
85c40 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 53 49 44 31 20 7d 2c 20 27 6d 27 .'m'.},...{.{.STATE_ASID1.},.'m'
85c60 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
85c80 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f 61 l.Iclass_xt_iclass_rsr_itlbcfg_a
85ca0 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 rgs[].=.{...{.{.OPERAND_art.},.'
85cc0 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 o'.}.};..static.xtensa_arg_inter
85ce0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 nal.Iclass_xt_iclass_rsr_itlbcfg
85d00 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 _stateArgs[].=.{...{.{.STATE_PSE
85d20 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 XCM.},.'i'.},...{.{.STATE_PSRING
85d40 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 .},.'i'.},...{.{.STATE_INSTPGSZI
85d60 44 36 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 D6.},.'i'.},...{.{.STATE_INSTPGS
85d80 5a 49 44 35 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 ZID5.},.'i'.},...{.{.STATE_INSTP
85da0 47 53 5a 49 44 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 GSZID4.},.'i'.}.};..static.xtens
85dc0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
85de0 77 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 wsr_itlbcfg_args[].=.{...{.{.OPE
85e00 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_art.},.'i'.}.};..static.xte
85e20 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
85e40 73 5f 77 73 72 5f 69 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 s_wsr_itlbcfg_stateArgs[].=.{...
85e60 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b {.{.STATE_XTSYNC.},.'o'.},...{.{
85e80 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSEXCM.},.'i'.},...{.{.ST
85ea0 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSRING.},.'i'.},...{.{.STATE
85ec0 5f 49 4e 53 54 50 47 53 5a 49 44 36 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 _INSTPGSZID6.},.'o'.},...{.{.STA
85ee0 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 35 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 TE_INSTPGSZID5.},.'o'.},...{.{.S
85f00 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 TATE_INSTPGSZID4.},.'o'.}.};..st
85f20 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
85f40 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 5b 5d 20 3d 20 7b xt_iclass_xsr_itlbcfg_args[].=.{
85f60 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a ...{.{.OPERAND_art.},.'m'.}.};..
85f80 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
85fa0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 s_xt_iclass_xsr_itlbcfg_stateArg
85fc0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f s[].=.{...{.{.STATE_XTSYNC.},.'o
85fe0 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d '.},...{.{.STATE_PSEXCM.},.'i'.}
86000 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 ,...{.{.STATE_PSRING.},.'i'.},..
86020 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 36 20 7d 2c 20 27 6d 27 20 7d 2c .{.{.STATE_INSTPGSZID6.},.'m'.},
86040 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 35 20 7d 2c 20 27 6d 27 20 ...{.{.STATE_INSTPGSZID5.},.'m'.
86060 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 49 4e 53 54 50 47 53 5a 49 44 34 20 7d 2c 20 27 6d },...{.{.STATE_INSTPGSZID4.},.'m
86080 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
860a0 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 67 5f al.Iclass_xt_iclass_rsr_dtlbcfg_
860c0 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 args[].=.{...{.{.OPERAND_art.},.
860e0 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 'o'.}.};..static.xtensa_arg_inte
86100 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 rnal.Iclass_xt_iclass_rsr_dtlbcf
86120 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 g_stateArgs[].=.{...{.{.STATE_PS
86140 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
86160 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a G.},.'i'.},...{.{.STATE_DATAPGSZ
86180 49 44 36 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 ID6.},.'i'.},...{.{.STATE_DATAPG
861a0 53 5a 49 44 35 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 SZID5.},.'i'.},...{.{.STATE_DATA
861c0 50 47 53 5a 49 44 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e PGSZID4.},.'i'.}.};..static.xten
861e0 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
86200 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 _wsr_dtlbcfg_args[].=.{...{.{.OP
86220 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'i'.}.};..static.xt
86240 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
86260 73 73 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 ss_wsr_dtlbcfg_stateArgs[].=.{..
86280 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 .{.{.STATE_XTSYNC.},.'o'.},...{.
862a0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
862c0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
862e0 45 5f 44 41 54 41 50 47 53 5a 49 44 36 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 E_DATAPGSZID6.},.'o'.},...{.{.ST
86300 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 35 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 ATE_DATAPGSZID5.},.'o'.},...{.{.
86320 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 34 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 STATE_DATAPGSZID4.},.'o'.}.};..s
86340 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
86360 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 67 73 5b 5d 20 3d 20 _xt_iclass_xsr_dtlbcfg_args[].=.
86380 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a {...{.{.OPERAND_art.},.'m'.}.};.
863a0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
863c0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 ss_xt_iclass_xsr_dtlbcfg_stateAr
863e0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 gs[].=.{...{.{.STATE_XTSYNC.},.'
86400 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 o'.},...{.{.STATE_PSEXCM.},.'i'.
86420 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a },...{.{.STATE_PSRING.},.'i'.},.
86440 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 36 20 7d 2c 20 27 6d 27 20 7d ..{.{.STATE_DATAPGSZID6.},.'m'.}
86460 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 35 20 7d 2c 20 27 6d 27 ,...{.{.STATE_DATAPGSZID5.},.'m'
86480 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 44 41 54 41 50 47 53 5a 49 44 34 20 7d 2c 20 27 .},...{.{.STATE_DATAPGSZID4.},.'
864a0 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 m'.}.};..static.xtensa_arg_inter
864c0 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 5f 61 72 67 73 5b nal.Iclass_xt_iclass_idtlb_args[
864e0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d ].=.{...{.{.OPERAND_ars.},.'i'.}
86500 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
86520 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 5f 73 74 61 74 65 41 72 67 73 Iclass_xt_iclass_idtlb_stateArgs
86540 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 [].=.{...{.{.STATE_PSEXCM.},.'i'
86560 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c .},...{.{.STATE_PSRING.},.'i'.},
86580 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a ...{.{.STATE_XTSYNC.},.'o'.}.};.
865a0 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 .static.xtensa_arg_internal.Icla
865c0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 64 74 6c 62 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 ss_xt_iclass_rdtlb_args[].=.{...
865e0 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 {.{.OPERAND_art.},.'o'.},...{.{.
86600 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 OPERAND_ars.},.'i'.}.};..static.
86620 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
86640 6c 61 73 73 5f 72 64 74 6c 62 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b lass_rdtlb_stateArgs[].=.{...{.{
86660 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 .STATE_PSEXCM.},.'i'.},...{.{.ST
86680 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 ATE_PSRING.},.'i'.}.};..static.x
866a0 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
866c0 61 73 73 5f 77 64 74 6c 62 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 ass_wdtlb_args[].=.{...{.{.OPERA
866e0 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 ND_art.},.'i'.},...{.{.OPERAND_a
86700 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 rs.},.'i'.}.};..static.xtensa_ar
86720 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 64 74 6c g_internal.Iclass_xt_iclass_wdtl
86740 62 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 b_stateArgs[].=.{...{.{.STATE_PS
86760 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e EXCM.},.'i'.},...{.{.STATE_PSRIN
86780 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 53 59 4e 43 20 7d G.},.'i'.},...{.{.STATE_XTSYNC.}
867a0 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'o'.}.};..static.xtensa_arg_in
867c0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 5f 61 72 ternal.Iclass_xt_iclass_iitlb_ar
867e0 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 gs[].=.{...{.{.OPERAND_ars.},.'i
86800 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e '.}.};..static.xtensa_arg_intern
86820 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 5f 73 74 61 74 65 41 al.Iclass_xt_iclass_iitlb_stateA
86840 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 rgs[].=.{...{.{.STATE_PSEXCM.},.
86860 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 'i'.},...{.{.STATE_PSRING.},.'i'
86880 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
868a0 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 5f 61 72 67 73 5b 5d 20 l.Iclass_xt_iclass_ritlb_args[].
868c0 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a =.{...{.{.OPERAND_art.},.'o'.},.
868e0 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 ..{.{.OPERAND_ars.},.'i'.}.};..s
86900 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 tatic.xtensa_arg_internal.Iclass
86920 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b _xt_iclass_ritlb_stateArgs[].=.{
86940 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 ...{.{.STATE_PSEXCM.},.'i'.},...
86960 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 {.{.STATE_PSRING.},.'i'.}.};..st
86980 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
869a0 78 74 5f 69 63 6c 61 73 73 5f 77 69 74 6c 62 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b xt_iclass_witlb_args[].=.{...{.{
869c0 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 .OPERAND_art.},.'i'.},...{.{.OPE
869e0 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 RAND_ars.},.'i'.}.};..static.xte
86a00 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
86a20 73 5f 77 69 74 6c 62 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 s_witlb_stateArgs[].=.{...{.{.ST
86a40 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
86a60 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e _PSRING.},.'i'.}.};..static.xten
86a80 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
86aa0 5f 6c 64 70 74 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 _ldpte_stateArgs[].=.{...{.{.STA
86ac0 54 45 5f 50 54 42 41 53 45 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PTBASE.},.'i'.},...{.{.STATE_
86ae0 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 EXCVADDR.},.'i'.}.};..static.xte
86b00 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 nsa_arg_internal.Iclass_xt_iclas
86b20 73 5f 68 77 77 69 74 6c 62 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b s_hwwitlba_stateArgs[].=.{...{.{
86b40 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 .STATE_EXCVADDR.},.'i'.}.};..sta
86b60 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 tic.xtensa_arg_internal.Iclass_x
86b80 74 5f 69 63 6c 61 73 73 5f 68 77 77 64 74 6c 62 61 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 t_iclass_hwwdtlba_stateArgs[].=.
86ba0 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 43 56 41 44 44 52 20 7d 2c 20 27 69 27 20 7d 0a {...{.{.STATE_EXCVADDR.},.'i'.}.
86bc0 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
86be0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 61 72 67 class_xt_iclass_rsr_cpenable_arg
86c00 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 s[].=.{...{.{.OPERAND_art.},.'o'
86c20 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 .}.};..static.xtensa_arg_interna
86c40 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f l.Iclass_xt_iclass_rsr_cpenable_
86c60 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 stateArgs[].=.{...{.{.STATE_PSEX
86c80 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 CM.},.'i'.},...{.{.STATE_PSRING.
86ca0 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d },.'i'.},...{.{.STATE_CPENABLE.}
86cc0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
86ce0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 70 65 6e ternal.Iclass_xt_iclass_wsr_cpen
86d00 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 able_args[].=.{...{.{.OPERAND_ar
86d20 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 t.},.'i'.}.};..static.xtensa_arg
86d40 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 _internal.Iclass_xt_iclass_wsr_c
86d60 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 penable_stateArgs[].=.{...{.{.ST
86d80 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_PSEXCM.},.'i'.},...{.{.STATE
86da0 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 _PSRING.},.'i'.},...{.{.STATE_CP
86dc0 45 4e 41 42 4c 45 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ENABLE.},.'o'.}.};..static.xtens
86de0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
86e00 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 xsr_cpenable_args[].=.{...{.{.OP
86e20 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 ERAND_art.},.'m'.}.};..static.xt
86e40 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 ensa_arg_internal.Iclass_xt_icla
86e60 73 73 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a ss_xsr_cpenable_stateArgs[].=.{.
86e80 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ..{.{.STATE_PSEXCM.},.'i'.},...{
86ea0 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 .{.STATE_PSRING.},.'i'.},...{.{.
86ec0 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_CPENABLE.},.'m'.}.};..stat
86ee0 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
86f00 5f 69 63 6c 61 73 73 5f 63 6c 61 6d 70 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f _iclass_clamp_args[].=.{...{.{.O
86f20 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 PERAND_arr.},.'o'.},...{.{.OPERA
86f40 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 74 ND_ars.},.'i'.},...{.{.OPERAND_t
86f60 70 37 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 p7.},.'i'.}.};..static.xtensa_ar
86f80 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d g_internal.Iclass_xt_iclass_minm
86fa0 61 78 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 ax_args[].=.{...{.{.OPERAND_arr.
86fc0 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 },.'o'.},...{.{.OPERAND_ars.},.'
86fe0 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d i'.},...{.{.OPERAND_art.},.'i'.}
87000 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
87020 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 73 61 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a Iclass_xt_iclass_nsa_args[].=.{.
87040 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 ..{.{.OPERAND_art.},.'o'.},...{.
87060 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 {.OPERAND_ars.},.'i'.}.};..stati
87080 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f c.xtensa_arg_internal.Iclass_xt_
870a0 69 63 6c 61 73 73 5f 73 78 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 iclass_sx_args[].=.{...{.{.OPERA
870c0 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 ND_arr.},.'o'.},...{.{.OPERAND_a
870e0 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 74 70 37 20 7d rs.},.'i'.},...{.{.OPERAND_tp7.}
87100 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
87120 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 61 69 5f 61 72 ternal.Iclass_xt_iclass_l32ai_ar
87140 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f gs[].=.{...{.{.OPERAND_art.},.'o
87160 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c '.},...{.{.OPERAND_ars.},.'i'.},
87180 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a ...{.{.OPERAND_uimm8x4.},.'i'.}.
871a0 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 };..static.xtensa_arg_internal.I
871c0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 72 69 5f 61 72 67 73 5b 5d 20 3d 20 7b class_xt_iclass_s32ri_args[].=.{
871e0 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b ...{.{.OPERAND_art.},.'i'.},...{
87200 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f .{.OPERAND_ars.},.'i'.},...{.{.O
87220 50 45 52 41 4e 44 5f 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 PERAND_uimm8x4.},.'i'.}.};..stat
87240 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
87260 5f 69 63 6c 61 73 73 5f 73 33 32 63 31 69 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 _iclass_s32c1i_args[].=.{...{.{.
87280 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 OPERAND_art.},.'m'.},...{.{.OPER
872a0 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f AND_ars.},.'i'.},...{.{.OPERAND_
872c0 75 69 6d 6d 38 78 34 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e uimm8x4.},.'i'.}.};..static.xten
872e0 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 sa_arg_internal.Iclass_xt_iclass
87300 5f 73 33 32 63 31 69 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 _s32c1i_stateArgs[].=.{...{.{.ST
87320 41 54 45 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 ATE_SCOMPARE1.},.'i'.},...{.{.ST
87340 41 54 45 5f 58 54 53 59 4e 43 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 ATE_XTSYNC.},.'i'.},...{.{.STATE
87360 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 _SCOMPARE1.},.'i'.}.};..static.x
87380 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c tensa_arg_internal.Iclass_xt_icl
873a0 61 73 73 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b ass_rsr_scompare1_args[].=.{...{
873c0 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.OPERAND_art.},.'o'.}.};..stat
873e0 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
87400 5f 69 63 6c 61 73 73 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 5b _iclass_rsr_scompare1_stateArgs[
87420 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 ].=.{...{.{.STATE_SCOMPARE1.},.'
87440 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 i'.}.};..static.xtensa_arg_inter
87460 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f 6d 70 61 72 nal.Iclass_xt_iclass_wsr_scompar
87480 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 e1_args[].=.{...{.{.OPERAND_art.
874a0 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'i'.}.};..static.xtensa_arg_i
874c0 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f nternal.Iclass_xt_iclass_wsr_sco
874e0 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 mpare1_stateArgs[].=.{...{.{.STA
87500 54 45 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 TE_SCOMPARE1.},.'o'.}.};..static
87520 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 .xtensa_arg_internal.Iclass_xt_i
87540 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 class_xsr_scompare1_args[].=.{..
87560 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'m'.}.};..st
87580 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
875a0 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 xt_iclass_xsr_scompare1_stateArg
875c0 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 53 43 4f 4d 50 41 52 45 31 20 7d 2c s[].=.{...{.{.STATE_SCOMPARE1.},
875e0 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 .'m'.}.};..static.xtensa_arg_int
87600 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 6f 6d 63 ernal.Iclass_xt_iclass_rsr_atomc
87620 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 tl_args[].=.{...{.{.OPERAND_art.
87640 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 },.'o'.}.};..static.xtensa_arg_i
87660 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 6f nternal.Iclass_xt_iclass_rsr_ato
87680 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 mctl_stateArgs[].=.{...{.{.STATE
876a0 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 _PSEXCM.},.'i'.},...{.{.STATE_PS
876c0 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 41 54 4f 4d 43 RING.},.'i'.},...{.{.STATE_ATOMC
876e0 54 4c 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 TL.},.'i'.}.};..static.xtensa_ar
87700 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f g_internal.Iclass_xt_iclass_wsr_
87720 61 74 6f 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 atomctl_args[].=.{...{.{.OPERAND
87740 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f _art.},.'i'.}.};..static.xtensa_
87760 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 arg_internal.Iclass_xt_iclass_ws
87780 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 r_atomctl_stateArgs[].=.{...{.{.
877a0 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 STATE_PSEXCM.},.'i'.},...{.{.STA
877c0 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f TE_PSRING.},.'i'.},...{.{.STATE_
877e0 41 54 4f 4d 43 54 4c 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 58 54 ATOMCTL.},.'o'.},...{.{.STATE_XT
87800 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f SYNC.},.'o'.}.};..static.xtensa_
87820 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 arg_internal.Iclass_xt_iclass_xs
87840 72 5f 61 74 6f 6d 63 74 6c 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 r_atomctl_args[].=.{...{.{.OPERA
87860 4e 44 5f 61 72 74 20 7d 2c 20 27 6d 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 ND_art.},.'m'.}.};..static.xtens
87880 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
878a0 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 xsr_atomctl_stateArgs[].=.{...{.
878c0 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
878e0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 TATE_PSRING.},.'i'.},...{.{.STAT
87900 45 5f 41 54 4f 4d 43 54 4c 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f E_ATOMCTL.},.'m'.},...{.{.STATE_
87920 58 54 53 59 4e 43 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 XTSYNC.},.'o'.}.};..static.xtens
87940 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f a_arg_internal.Iclass_xt_iclass_
87960 64 69 76 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 div_args[].=.{...{.{.OPERAND_arr
87980 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 .},.'o'.},...{.{.OPERAND_ars.},.
879a0 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 'i'.},...{.{.OPERAND_art.},.'i'.
879c0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
879e0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 5f 61 72 67 73 5b 5d 20 3d 20 7b .Iclass_xt_iclass_rer_args[].=.{
87a00 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b ...{.{.OPERAND_art.},.'o'.},...{
87a20 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 .{.OPERAND_ars.},.'i'.}.};..stat
87a40 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 ic.xtensa_arg_internal.Iclass_xt
87a60 5f 69 63 6c 61 73 73 5f 72 65 72 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 _iclass_rer_stateArgs[].=.{...{.
87a80 7b 20 53 54 41 54 45 5f 50 53 45 58 43 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 {.STATE_PSEXCM.},.'i'.},...{.{.S
87aa0 54 41 54 45 5f 50 53 52 49 4e 47 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 TATE_PSRING.},.'i'.}.};..static.
87ac0 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 xtensa_arg_internal.Iclass_xt_ic
87ae0 6c 61 73 73 5f 77 65 72 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e lass_wer_args[].=.{...{.{.OPERAN
87b00 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 D_art.},.'i'.},...{.{.OPERAND_ar
87b20 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 s.},.'i'.}.};..static.xtensa_arg
87b40 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 65 72 5f 73 _internal.Iclass_xt_iclass_wer_s
87b60 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 45 58 43 tateArgs[].=.{...{.{.STATE_PSEXC
87b80 4d 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 50 53 52 49 4e 47 20 7d M.},.'i'.},...{.{.STATE_PSRING.}
87ba0 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e ,.'i'.}.};..static.xtensa_arg_in
87bc0 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 61 72 67 73 5b ternal.Iclass_rur_expstate_args[
87be0 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 72 20 7d 2c 20 27 6f 27 20 7d ].=.{...{.{.OPERAND_arr.},.'o'.}
87c00 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
87c20 49 63 6c 61 73 73 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 Iclass_rur_expstate_stateArgs[].
87c40 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 45 20 7d 2c 20 27 69 27 20 =.{...{.{.STATE_EXPSTATE.},.'i'.
87c60 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 7d },...{.{.STATE_CPENABLE.},.'i'.}
87c80 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 .};..static.xtensa_arg_internal.
87ca0 49 63 6c 61 73 73 5f 77 75 72 5f 65 78 70 73 74 61 74 65 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 Iclass_wur_expstate_args[].=.{..
87cc0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'i'.}.};..st
87ce0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
87d00 77 75 72 5f 65 78 70 73 74 61 74 65 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b wur_expstate_stateArgs[].=.{...{
87d20 20 7b 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 45 20 7d 2c 20 27 6f 27 20 7d 2c 0a 20 20 7b 20 .{.STATE_EXPSTATE.},.'o'.},...{.
87d40 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 {.STATE_CPENABLE.},.'i'.}.};..st
87d60 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
87d80 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 iclass_READ_IMPWIRE_args[].=.{..
87da0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 6f 27 20 7d 0a 7d 3b 0a 0a 73 74 .{.{.OPERAND_art.},.'o'.}.};..st
87dc0 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f atic.xtensa_arg_internal.Iclass_
87de0 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 iclass_READ_IMPWIRE_stateArgs[].
87e00 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 =.{...{.{.STATE_CPENABLE.},.'i'.
87e20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 69 6e 74 65 72 66 61 63 65 20 49 63 }.};..static.xtensa_interface.Ic
87e40 6c 61 73 73 5f 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f 69 6e 74 66 41 72 67 lass_iclass_READ_IMPWIRE_intfArg
87e60 73 5b 5d 20 3d 20 7b 0a 20 20 49 4e 54 45 52 46 41 43 45 5f 49 4d 50 57 49 52 45 0a 7d 3b 0a 0a s[].=.{...INTERFACE_IMPWIRE.};..
87e80 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 static.xtensa_arg_internal.Iclas
87ea0 73 5f 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 5f 61 72 67 73 5b 5d 20 3d 20 s_iclass_SETB_EXPSTATE_args[].=.
87ec0 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 69 74 69 6e 64 65 78 20 7d 2c 20 27 69 27 20 {...{.{.OPERAND_bitindex.},.'i'.
87ee0 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c }.};..static.xtensa_arg_internal
87f00 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 5f 73 74 61 .Iclass_iclass_SETB_EXPSTATE_sta
87f20 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 teArgs[].=.{...{.{.STATE_EXPSTAT
87f40 45 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 E.},.'m'.},...{.{.STATE_CPENABLE
87f60 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f .},.'i'.}.};..static.xtensa_arg_
87f80 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 43 4c 52 42 5f 45 58 50 53 internal.Iclass_iclass_CLRB_EXPS
87fa0 54 41 54 45 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 62 69 TATE_args[].=.{...{.{.OPERAND_bi
87fc0 74 69 6e 64 65 78 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 tindex.},.'i'.}.};..static.xtens
87fe0 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 43 4c 52 a_arg_internal.Iclass_iclass_CLR
88000 42 5f 45 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 7b B_EXPSTATE_stateArgs[].=.{...{.{
88020 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 45 20 7d 2c 20 27 6d 27 20 7d 2c 0a 20 20 7b 20 7b 20 .STATE_EXPSTATE.},.'m'.},...{.{.
88040 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 STATE_CPENABLE.},.'i'.}.};..stat
88060 69 63 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 69 63 ic.xtensa_arg_internal.Iclass_ic
88080 6c 61 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 5f 61 72 67 73 5b 5d 20 3d 20 7b 0a 20 lass_WRMSK_EXPSTATE_args[].=.{..
880a0 20 7b 20 7b 20 4f 50 45 52 41 4e 44 5f 61 72 74 20 7d 2c 20 27 69 27 20 7d 2c 0a 20 20 7b 20 7b .{.{.OPERAND_art.},.'i'.},...{.{
880c0 20 4f 50 45 52 41 4e 44 5f 61 72 73 20 7d 2c 20 27 69 27 20 7d 0a 7d 3b 0a 0a 73 74 61 74 69 63 .OPERAND_ars.},.'i'.}.};..static
880e0 20 78 74 65 6e 73 61 5f 61 72 67 5f 69 6e 74 65 72 6e 61 6c 20 49 63 6c 61 73 73 5f 69 63 6c 61 .xtensa_arg_internal.Iclass_icla
88100 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 5b 5d 20 3d 20 ss_WRMSK_EXPSTATE_stateArgs[].=.
88120 7b 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 45 58 50 53 54 41 54 45 20 7d 2c 20 27 6d 27 20 7d 2c {...{.{.STATE_EXPSTATE.},.'m'.},
88140 0a 20 20 7b 20 7b 20 53 54 41 54 45 5f 43 50 45 4e 41 42 4c 45 20 7d 2c 20 27 69 27 20 7d 0a 7d ...{.{.STATE_CPENABLE.},.'i'.}.}
88160 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 69 63 6c 61 73 73 5f 69 6e 74 65 72 6e 61 6c ;..static.xtensa_iclass_internal
88180 20 69 63 6c 61 73 73 65 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 .iclasses[].=.{...{.0,.0./*.xt_i
881a0 63 6c 61 73 73 5f 65 78 63 77 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c class_excw.*/,.....0,.0,.0,.0.},
881c0 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 72 66 65 20 2a 2f 2c 0a 20 ...{.0,.0./*.xt_iclass_rfe.*/,..
881e0 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 65 5f 73 74 61 74 65 ...3,.Iclass_xt_iclass_rfe_state
88200 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c Args,.0,.0.},...{.0,.0./*.xt_icl
88220 61 73 73 5f 72 66 64 65 20 2a 2f 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 ass_rfde.*/,.....3,.Iclass_xt_ic
88240 6c 61 73 73 5f 72 66 64 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b lass_rfde_stateArgs,.0,.0.},...{
88260 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 73 79 73 63 61 6c 6c 20 2a 2f 2c 0a 20 .0,.0./*.xt_iclass_syscall.*/,..
88280 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.2,.Iclass_x
882a0 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c t_iclass_call12_args,.....1,.Icl
882c0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 5f 73 74 61 74 65 41 72 67 73 2c 20 ass_xt_iclass_call12_stateArgs,.
882e0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.2,.Iclass_xt_iclass_
88300 63 61 6c 6c 38 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c call8_args,.....1,.Iclass_xt_icl
88320 61 73 73 5f 63 61 6c 6c 38 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b ass_call8_stateArgs,.0,.0.},...{
88340 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 34 5f 61 72 67 73 2c .2,.Iclass_xt_iclass_call4_args,
88360 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 34 5f 73 .....1,.Iclass_xt_iclass_call4_s
88380 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.2,.Iclass_
883a0 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 31 32 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 xt_iclass_callx12_args,.....1,.I
883c0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 31 32 5f 73 74 61 74 65 41 72 67 class_xt_iclass_callx12_stateArg
883e0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 s,.0,.0.},...{.2,.Iclass_xt_icla
88400 73 73 5f 63 61 6c 6c 78 38 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 ss_callx8_args,.....1,.Iclass_xt
88420 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 38 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d _iclass_callx8_stateArgs,.0,.0.}
88440 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 34 ,...{.2,.Iclass_xt_iclass_callx4
88460 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 _args,.....1,.Iclass_xt_iclass_c
88480 61 6c 6c 78 34 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 allx4_stateArgs,.0,.0.},...{.3,.
884a0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 6e 74 72 79 5f 61 72 67 73 2c 0a 20 20 20 Iclass_xt_iclass_entry_args,....
884c0 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 6e 74 72 79 5f 73 74 61 74 65 .5,.Iclass_xt_iclass_entry_state
884e0 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.2,.Iclass_xt_i
88500 63 6c 61 73 73 5f 6d 6f 76 73 70 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f class_movsp_args,.....2,.Iclass_
88520 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 73 70 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 xt_iclass_movsp_stateArgs,.0,.0.
88540 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 6f 74 77 5f },...{.1,.Iclass_xt_iclass_rotw_
88560 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 6f args,.....3,.Iclass_xt_iclass_ro
88580 74 77 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c tw_stateArgs,.0,.0.},...{.1,.Icl
885a0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 77 5f 61 72 67 73 2c 0a 20 20 20 20 35 2c 20 ass_xt_iclass_retw_args,.....5,.
885c0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 77 5f 73 74 61 74 65 41 72 67 73 2c Iclass_xt_iclass_retw_stateArgs,
885e0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 72 .0,.0.},...{.0,.0./*.xt_iclass_r
88600 66 77 6f 75 20 2a 2f 2c 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 fwou.*/,.....6,.Iclass_xt_iclass
88620 5f 72 66 77 6f 75 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c _rfwou_stateArgs,.0,.0.},...{.3,
88640 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 5f 61 72 67 73 2c 0a 20 20 20 .Iclass_xt_iclass_l32e_args,....
88660 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 5f 73 74 61 74 65 41 .2,.Iclass_xt_iclass_l32e_stateA
88680 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.3,.Iclass_xt_ic
886a0 6c 61 73 73 5f 73 33 32 65 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 lass_s32e_args,.....2,.Iclass_xt
886c0 5f 69 63 6c 61 73 73 5f 73 33 32 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a _iclass_s32e_stateArgs,.0,.0.},.
886e0 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 ..{.1,.Iclass_xt_iclass_rsr_wind
88700 6f 77 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 owbase_args,.....3,.Iclass_xt_ic
88720 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 lass_rsr_windowbase_stateArgs,.0
88740 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 ,.0.},...{.1,.Iclass_xt_iclass_w
88760 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 sr_windowbase_args,.....3,.Iclas
88780 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 73 74 61 74 65 s_xt_iclass_wsr_windowbase_state
887a0 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.1,.Iclass_xt_i
887c0 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 class_xsr_windowbase_args,.....3
887e0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 ,.Iclass_xt_iclass_xsr_windowbas
88800 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 e_stateArgs,.0,.0.},...{.1,.Icla
88820 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 72 67 ss_xt_iclass_rsr_windowstart_arg
88840 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 s,.....3,.Iclass_xt_iclass_rsr_w
88860 69 6e 64 6f 77 73 74 61 72 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 indowstart_stateArgs,.0,.0.},...
88880 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 {.1,.Iclass_xt_iclass_wsr_window
888a0 73 74 61 72 74 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c start_args,.....3,.Iclass_xt_icl
888c0 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 ass_wsr_windowstart_stateArgs,.0
888e0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 ,.0.},...{.1,.Iclass_xt_iclass_x
88900 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 sr_windowstart_args,.....3,.Icla
88920 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 73 74 61 ss_xt_iclass_xsr_windowstart_sta
88940 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.3,.Iclass_xt
88960 5f 69 63 6c 61 73 73 5f 61 64 64 5f 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c _iclass_add_n_args,.....0,.0,.0,
88980 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 .0.},...{.3,.Iclass_xt_iclass_ad
889a0 64 69 5f 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b di_n_args,.....0,.0,.0,.0.},...{
889c0 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 7a 36 5f 61 72 67 73 2c 0a 20 .2,.Iclass_xt_iclass_bz6_args,..
889e0 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f ...0,.0,.0,.0.},...{.0,.0./*.xt_
88a00 69 63 6c 61 73 73 5f 69 6c 6c 5f 6e 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 iclass_ill_n.*/,.....0,.0,.0,.0.
88a20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 61 64 69 },...{.3,.Iclass_xt_iclass_loadi
88a40 34 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 4_args,.....0,.0,.0,.0.},...{.2,
88a60 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 5f 6e 5f 61 72 67 73 2c 0a 20 20 .Iclass_xt_iclass_mov_n_args,...
88a80 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 ..0,.0,.0,.0.},...{.2,.Iclass_xt
88aa0 5f 69 63 6c 61 73 73 5f 6d 6f 76 69 5f 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 _iclass_movi_n_args,.....0,.0,.0
88ac0 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 ,.0.},...{.0,.0./*.xt_iclass_nop
88ae0 6e 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 n.*/,.....0,.0,.0,.0.},...{.1,.I
88b00 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 class_xt_iclass_retn_args,.....0
88b20 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 ,.0,.0,.0.},...{.3,.Iclass_xt_ic
88b40 6c 61 73 73 5f 73 74 6f 72 65 69 34 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 lass_storei4_args,.....0,.0,.0,.
88b60 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 0.},...{.1,.Iclass_rur_threadptr
88b80 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 72 75 72 5f 74 68 72 65 61 64 70 _args,.....1,.Iclass_rur_threadp
88ba0 74 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c tr_stateArgs,.0,.0.},...{.1,.Icl
88bc0 61 73 73 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 ass_wur_threadptr_args,.....1,.I
88be0 63 6c 61 73 73 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 class_wur_threadptr_stateArgs,.0
88c00 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 ,.0.},...{.3,.Iclass_xt_iclass_a
88c20 64 64 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ddi_args,.....0,.0,.0,.0.},...{.
88c40 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 6d 69 5f 61 72 67 73 2c 0a 3,.Iclass_xt_iclass_addmi_args,.
88c60 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f ....0,.0,.0,.0.},...{.3,.Iclass_
88c80 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c xt_iclass_addsub_args,.....0,.0,
88ca0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.3,.Iclass_xt_iclass
88cc0 5f 62 69 74 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _bit_args,.....0,.0,.0,.0.},...{
88ce0 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 5f 61 72 67 73 2c 0a .3,.Iclass_xt_iclass_bsi8_args,.
88d00 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f ....0,.0,.0,.0.},...{.3,.Iclass_
88d20 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 xt_iclass_bsi8b_args,.....0,.0,.
88d40 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.3,.Iclass_xt_iclass_
88d60 62 73 69 38 75 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 bsi8u_args,.....0,.0,.0,.0.},...
88d80 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 5f 61 72 67 73 2c {.3,.Iclass_xt_iclass_bst8_args,
88da0 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 .....0,.0,.0,.0.},...{.2,.Iclass
88dc0 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c _xt_iclass_bsz12_args,.....0,.0,
88de0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.2,.Iclass_xt_iclass
88e00 5f 63 61 6c 6c 30 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 _call0_args,.....0,.0,.0,.0.},..
88e20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 30 5f 61 72 .{.2,.Iclass_xt_iclass_callx0_ar
88e40 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 34 2c 20 49 63 6c gs,.....0,.0,.0,.0.},...{.4,.Icl
88e60 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 65 78 74 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 ass_xt_iclass_exti_args,.....0,.
88e80 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 0,.0,.0.},...{.0,.0./*.xt_iclass
88ea0 5f 69 6c 6c 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 _ill.*/,.....0,.0,.0,.0.},...{.1
88ec0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 5f 61 72 67 73 2c 0a 20 20 ,.Iclass_xt_iclass_jump_args,...
88ee0 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 ..0,.0,.0,.0.},...{.1,.Iclass_xt
88f00 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 78 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c _iclass_jumpx_args,.....0,.0,.0,
88f20 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 .0.},...{.3,.Iclass_xt_iclass_l1
88f40 36 75 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 6ui_args,.....0,.0,.0,.0.},...{.
88f60 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 36 73 69 5f 61 72 67 73 2c 0a 3,.Iclass_xt_iclass_l16si_args,.
88f80 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f ....0,.0,.0,.0.},...{.3,.Iclass_
88fa0 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 xt_iclass_l32i_args,.....0,.0,.0
88fc0 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c ,.0.},...{.2,.Iclass_xt_iclass_l
88fe0 33 32 72 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32r_args,.....0,.0,.0,.0.},...{.
89000 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 38 69 5f 61 72 67 73 2c 0a 20 20 3,.Iclass_xt_iclass_l8i_args,...
89020 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 ..0,.0,.0,.0.},...{.2,.Iclass_xt
89040 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 _iclass_loop_args,.....3,.Iclass
89060 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 _xt_iclass_loop_stateArgs,.0,.0.
89080 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 7a },...{.2,.Iclass_xt_iclass_loopz
890a0 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c _args,.....3,.Iclass_xt_iclass_l
890c0 6f 6f 70 7a 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 oopz_stateArgs,.0,.0.},...{.2,.I
890e0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 class_xt_iclass_movi_args,.....0
89100 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 ,.0,.0,.0.},...{.3,.Iclass_xt_ic
89120 6c 61 73 73 5f 6d 6f 76 7a 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d lass_movz_args,.....0,.0,.0,.0.}
89140 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 65 67 5f 61 72 ,...{.2,.Iclass_xt_iclass_neg_ar
89160 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f gs,.....0,.0,.0,.0.},...{.0,.0./
89180 2a 20 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c *.xt_iclass_nop.*/,.....0,.0,.0,
891a0 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 .0.},...{.1,.Iclass_xt_iclass_re
891c0 74 75 72 6e 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b turn_args,.....0,.0,.0,.0.},...{
891e0 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 73 69 6d 63 61 6c 6c 20 2a 2f 2c 0a 20 .0,.0./*.xt_iclass_simcall.*/,..
89200 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.3,.Iclass_x
89220 74 5f 69 63 6c 61 73 73 5f 73 31 36 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c t_iclass_s16i_args,.....0,.0,.0,
89240 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 .0.},...{.3,.Iclass_xt_iclass_s3
89260 32 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2i_args,.....0,.0,.0,.0.},...{.3
89280 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 6e 62 5f 61 72 67 73 2c 0a 20 ,.Iclass_xt_iclass_s32nb_args,..
892a0 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.3,.Iclass_x
892c0 74 5f 69 63 6c 61 73 73 5f 73 38 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 t_iclass_s8i_args,.....0,.0,.0,.
892e0 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 0.},...{.1,.Iclass_xt_iclass_sar
89300 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 _args,.....1,.Iclass_xt_iclass_s
89320 61 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c ar_stateArgs,.0,.0.},...{.1,.Icl
89340 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 ass_xt_iclass_sari_args,.....1,.
89360 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 5f 73 74 61 74 65 41 72 67 73 2c Iclass_xt_iclass_sari_stateArgs,
89380 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.2,.Iclass_xt_iclass
893a0 5f 73 68 69 66 74 73 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 _shifts_args,.....1,.Iclass_xt_i
893c0 63 6c 61 73 73 5f 73 68 69 66 74 73 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a class_shifts_stateArgs,.0,.0.},.
893e0 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 74 5f ..{.3,.Iclass_xt_iclass_shiftst_
89400 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 args,.....1,.Iclass_xt_iclass_sh
89420 69 66 74 73 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 iftst_stateArgs,.0,.0.},...{.2,.
89440 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 74 5f 61 72 67 73 2c 0a 20 20 Iclass_xt_iclass_shiftt_args,...
89460 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 74 5f 73 74 61 ..1,.Iclass_xt_iclass_shiftt_sta
89480 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.3,.Iclass_xt
894a0 5f 69 63 6c 61 73 73 5f 73 6c 6c 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 _iclass_slli_args,.....0,.0,.0,.
894c0 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 61 0.},...{.3,.Iclass_xt_iclass_sra
894e0 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c i_args,.....0,.0,.0,.0.},...{.3,
89500 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 6c 69 5f 61 72 67 73 2c 0a 20 20 20 .Iclass_xt_iclass_srli_args,....
89520 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 .0,.0,.0,.0.},...{.0,.0./*.xt_ic
89540 6c 61 73 73 5f 6d 65 6d 77 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a lass_memw.*/,.....0,.0,.0,.0.},.
89560 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 65 78 74 77 20 2a 2f 2c 0a 20 ..{.0,.0./*.xt_iclass_extw.*/,..
89580 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f ...0,.0,.0,.0.},...{.0,.0./*.xt_
895a0 69 63 6c 61 73 73 5f 69 73 79 6e 63 20 2a 2f 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 iclass_isync.*/,.....0,.0,.0,.0.
895c0 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 20 2a 2f },...{.0,.0./*.xt_iclass_sync.*/
895e0 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 5f 73 ,.....1,.Iclass_xt_iclass_sync_s
89600 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.2,.Iclass_
89620 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 5f 61 72 67 73 2c 0a 20 20 20 20 37 2c 20 49 63 6c 61 xt_iclass_rsil_args,.....7,.Icla
89640 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 ss_xt_iclass_rsil_stateArgs,.0,.
89660 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 0.},...{.1,.Iclass_xt_iclass_rsr
89680 5f 6c 65 6e 64 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c _lend_args,.....1,.Iclass_xt_icl
896a0 61 73 73 5f 72 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a ass_rsr_lend_stateArgs,.0,.0.},.
896c0 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 65 6e 64 ..{.1,.Iclass_xt_iclass_wsr_lend
896e0 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _args,.....1,.Iclass_xt_iclass_w
89700 73 72 5f 6c 65 6e 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 sr_lend_stateArgs,.0,.0.},...{.1
89720 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 65 6e 64 5f 61 72 67 73 ,.Iclass_xt_iclass_xsr_lend_args
89740 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 65 ,.....1,.Iclass_xt_iclass_xsr_le
89760 6e 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c nd_stateArgs,.0,.0.},...{.1,.Icl
89780 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 2c 0a 20 ass_xt_iclass_rsr_lcount_args,..
897a0 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e ...1,.Iclass_xt_iclass_rsr_lcoun
897c0 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 t_stateArgs,.0,.0.},...{.1,.Icla
897e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 2c 0a 20 20 ss_xt_iclass_wsr_lcount_args,...
89800 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 ..2,.Iclass_xt_iclass_wsr_lcount
89820 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 _stateArgs,.0,.0.},...{.1,.Iclas
89840 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 61 72 67 73 2c 0a 20 20 20 s_xt_iclass_xsr_lcount_args,....
89860 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f .2,.Iclass_xt_iclass_xsr_lcount_
89880 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
898a0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 65 67 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c _xt_iclass_rsr_lbeg_args,.....1,
898c0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 65 67 5f 73 74 61 74 65 .Iclass_xt_iclass_rsr_lbeg_state
898e0 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.1,.Iclass_xt_i
89900 63 6c 61 73 73 5f 77 73 72 5f 6c 62 65 67 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 class_wsr_lbeg_args,.....1,.Icla
89920 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 62 65 67 5f 73 74 61 74 65 41 72 67 73 2c ss_xt_iclass_wsr_lbeg_stateArgs,
89940 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
89960 5f 78 73 72 5f 6c 62 65 67 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 _xsr_lbeg_args,.....1,.Iclass_xt
89980 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 62 65 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 _iclass_xsr_lbeg_stateArgs,.0,.0
899a0 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f .},...{.1,.Iclass_xt_iclass_rsr_
899c0 73 61 72 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 sar_args,.....1,.Iclass_xt_iclas
899e0 73 5f 72 73 72 5f 73 61 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b s_rsr_sar_stateArgs,.0,.0.},...{
89a00 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 61 72 5f 61 72 67 .1,.Iclass_xt_iclass_wsr_sar_arg
89a20 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 s,.....2,.Iclass_xt_iclass_wsr_s
89a40 61 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c ar_stateArgs,.0,.0.},...{.1,.Icl
89a60 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 72 5f 61 72 67 73 2c 0a 20 20 20 20 ass_xt_iclass_xsr_sar_args,.....
89a80 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 72 5f 73 74 61 74 1,.Iclass_xt_iclass_xsr_sar_stat
89aa0 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.1,.Iclass_xt_
89ac0 69 63 6c 61 73 73 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 iclass_rsr_memctl_args,.....0,.0
89ae0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
89b00 73 5f 77 73 72 5f 6d 65 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 s_wsr_memctl_args,.....0,.0,.0,.
89b20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 0.},...{.1,.Iclass_xt_iclass_xsr
89b40 5f 6d 65 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a _memctl_args,.....0,.0,.0,.0.},.
89b60 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 69 74 62 ..{.1,.Iclass_xt_iclass_rsr_litb
89b80 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ase_args,.....0,.0,.0,.0.},...{.
89ba0 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 69 74 62 61 73 65 5f 1,.Iclass_xt_iclass_wsr_litbase_
89bc0 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 args,.....0,.0,.0,.0.},...{.1,.I
89be0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 69 74 62 61 73 65 5f 61 72 67 73 class_xt_iclass_xsr_litbase_args
89c00 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 ,.....0,.0,.0,.0.},...{.1,.Iclas
89c20 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 61 72 67 73 2c 0a s_xt_iclass_rsr_configid0_args,.
89c40 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 ....2,.Iclass_xt_iclass_rsr_conf
89c60 69 67 69 64 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 igid0_stateArgs,.0,.0.},...{.1,.
89c80 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 61 Iclass_xt_iclass_wsr_configid0_a
89ca0 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 rgs,.....2,.Iclass_xt_iclass_wsr
89cc0 5f 63 6f 6e 66 69 67 69 64 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 _configid0_stateArgs,.0,.0.},...
89ce0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 {.1,.Iclass_xt_iclass_rsr_config
89d00 69 64 31 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 id1_args,.....2,.Iclass_xt_iclas
89d20 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 s_rsr_configid1_stateArgs,.0,.0.
89d40 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 },...{.1,.Iclass_xt_iclass_rsr_p
89d60 73 5f 61 72 67 73 2c 0a 20 20 20 20 37 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f s_args,.....7,.Iclass_xt_iclass_
89d80 72 73 72 5f 70 73 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c rsr_ps_stateArgs,.0,.0.},...{.1,
89da0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 5f 61 72 67 73 2c 0a 20 .Iclass_xt_iclass_wsr_ps_args,..
89dc0 20 20 20 37 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 5f 73 74 ...7,.Iclass_xt_iclass_wsr_ps_st
89de0 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.1,.Iclass_x
89e00 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 73 5f 61 72 67 73 2c 0a 20 20 20 20 37 2c 20 49 63 6c t_iclass_xsr_ps_args,.....7,.Icl
89e20 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 73 5f 73 74 61 74 65 41 72 67 73 2c 20 ass_xt_iclass_xsr_ps_stateArgs,.
89e40 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
89e60 72 73 72 5f 65 70 63 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f rsr_epc1_args,.....3,.Iclass_xt_
89e80 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 iclass_rsr_epc1_stateArgs,.0,.0.
89ea0 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 },...{.1,.Iclass_xt_iclass_wsr_e
89ec0 70 63 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 pc1_args,.....3,.Iclass_xt_iclas
89ee0 73 5f 77 73 72 5f 65 70 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 s_wsr_epc1_stateArgs,.0,.0.},...
89f00 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 5f 61 {.1,.Iclass_xt_iclass_xsr_epc1_a
89f20 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rgs,.....3,.Iclass_xt_iclass_xsr
89f40 5f 65 70 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 _epc1_stateArgs,.0,.0.},...{.1,.
89f60 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f 61 72 Iclass_xt_iclass_rsr_excsave1_ar
89f80 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f gs,.....3,.Iclass_xt_iclass_rsr_
89fa0 65 78 63 73 61 76 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 excsave1_stateArgs,.0,.0.},...{.
89fc0 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 31 1,.Iclass_xt_iclass_wsr_excsave1
89fe0 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _args,.....3,.Iclass_xt_iclass_w
8a000 73 72 5f 65 78 63 73 61 76 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 sr_excsave1_stateArgs,.0,.0.},..
8a020 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 .{.1,.Iclass_xt_iclass_xsr_excsa
8a040 76 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ve1_args,.....3,.Iclass_xt_iclas
8a060 73 5f 78 73 72 5f 65 78 63 73 61 76 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d s_xsr_excsave1_stateArgs,.0,.0.}
8a080 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 ,...{.1,.Iclass_xt_iclass_rsr_ep
8a0a0 63 32 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 c2_args,.....3,.Iclass_xt_iclass
8a0c0 5f 72 73 72 5f 65 70 63 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _rsr_epc2_stateArgs,.0,.0.},...{
8a0e0 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 32 5f 61 72 .1,.Iclass_xt_iclass_wsr_epc2_ar
8a100 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f gs,.....3,.Iclass_xt_iclass_wsr_
8a120 65 70 63 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 epc2_stateArgs,.0,.0.},...{.1,.I
8a140 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 32 5f 61 72 67 73 2c 0a 20 class_xt_iclass_xsr_epc2_args,..
8a160 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 32 5f ...3,.Iclass_xt_iclass_xsr_epc2_
8a180 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
8a1a0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 67 73 2c 0a 20 20 _xt_iclass_rsr_excsave2_args,...
8a1c0 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 ..3,.Iclass_xt_iclass_rsr_excsav
8a1e0 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c e2_stateArgs,.0,.0.},...{.1,.Icl
8a200 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 67 73 2c ass_xt_iclass_wsr_excsave2_args,
8a220 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 .....3,.Iclass_xt_iclass_wsr_exc
8a240 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 save2_stateArgs,.0,.0.},...{.1,.
8a260 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 61 72 Iclass_xt_iclass_xsr_excsave2_ar
8a280 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f gs,.....3,.Iclass_xt_iclass_xsr_
8a2a0 65 78 63 73 61 76 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 excsave2_stateArgs,.0,.0.},...{.
8a2c0 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 33 5f 61 72 67 1,.Iclass_xt_iclass_rsr_epc3_arg
8a2e0 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 s,.....3,.Iclass_xt_iclass_rsr_e
8a300 70 63 33 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 pc3_stateArgs,.0,.0.},...{.1,.Ic
8a320 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 5f 61 72 67 73 2c 0a 20 20 lass_xt_iclass_wsr_epc3_args,...
8a340 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 5f 73 ..3,.Iclass_xt_iclass_wsr_epc3_s
8a360 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
8a380 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 xt_iclass_xsr_epc3_args,.....3,.
8a3a0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 5f 73 74 61 74 65 41 Iclass_xt_iclass_xsr_epc3_stateA
8a3c0 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
8a3e0 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 lass_rsr_excsave3_args,.....3,.I
8a400 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 73 74 61 class_xt_iclass_rsr_excsave3_sta
8a420 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
8a440 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 _iclass_wsr_excsave3_args,.....3
8a460 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 5f ,.Iclass_xt_iclass_wsr_excsave3_
8a480 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
8a4a0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 33 5f 61 72 67 73 2c 0a 20 20 _xt_iclass_xsr_excsave3_args,...
8a4c0 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 ..3,.Iclass_xt_iclass_xsr_excsav
8a4e0 65 33 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c e3_stateArgs,.0,.0.},...{.1,.Icl
8a500 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 34 5f 61 72 67 73 2c 0a 20 20 20 ass_xt_iclass_rsr_epc4_args,....
8a520 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 34 5f 73 74 .3,.Iclass_xt_iclass_rsr_epc4_st
8a540 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.1,.Iclass_x
8a560 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 t_iclass_wsr_epc4_args,.....3,.I
8a580 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 34 5f 73 74 61 74 65 41 72 class_xt_iclass_wsr_epc4_stateAr
8a5a0 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c gs,.0,.0.},...{.1,.Iclass_xt_icl
8a5c0 61 73 73 5f 78 73 72 5f 65 70 63 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 ass_xsr_epc4_args,.....3,.Iclass
8a5e0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 34 5f 73 74 61 74 65 41 72 67 73 2c 20 30 _xt_iclass_xsr_epc4_stateArgs,.0
8a600 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ,.0.},...{.1,.Iclass_xt_iclass_r
8a620 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f sr_excsave4_args,.....3,.Iclass_
8a640 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f 73 74 61 74 65 41 72 67 73 xt_iclass_rsr_excsave4_stateArgs
8a660 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
8a680 73 5f 77 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 s_wsr_excsave4_args,.....3,.Icla
8a6a0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 34 5f 73 74 61 74 65 41 ss_xt_iclass_wsr_excsave4_stateA
8a6c0 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
8a6e0 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 lass_xsr_excsave4_args,.....3,.I
8a700 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 73 74 61 class_xt_iclass_xsr_excsave4_sta
8a720 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
8a740 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 _iclass_rsr_epc5_args,.....3,.Ic
8a760 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 lass_xt_iclass_rsr_epc5_stateArg
8a780 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 s,.0,.0.},...{.1,.Iclass_xt_icla
8a7a0 73 73 5f 77 73 72 5f 65 70 63 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f ss_wsr_epc5_args,.....3,.Iclass_
8a7c0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c xt_iclass_wsr_epc5_stateArgs,.0,
8a7e0 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 .0.},...{.1,.Iclass_xt_iclass_xs
8a800 72 5f 65 70 63 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 r_epc5_args,.....3,.Iclass_xt_ic
8a820 6c 61 73 73 5f 78 73 72 5f 65 70 63 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c lass_xsr_epc5_stateArgs,.0,.0.},
8a840 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 ...{.1,.Iclass_xt_iclass_rsr_exc
8a860 73 61 76 65 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c save5_args,.....3,.Iclass_xt_icl
8a880 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ass_rsr_excsave5_stateArgs,.0,.0
8a8a0 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f .},...{.1,.Iclass_xt_iclass_wsr_
8a8c0 65 78 63 73 61 76 65 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f excsave5_args,.....3,.Iclass_xt_
8a8e0 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 iclass_wsr_excsave5_stateArgs,.0
8a900 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 ,.0.},...{.1,.Iclass_xt_iclass_x
8a920 73 72 5f 65 78 63 73 61 76 65 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f sr_excsave5_args,.....3,.Iclass_
8a940 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 73 74 61 74 65 41 72 67 73 xt_iclass_xsr_excsave5_stateArgs
8a960 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
8a980 73 5f 72 73 72 5f 65 70 63 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 s_rsr_epc6_args,.....3,.Iclass_x
8a9a0 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 t_iclass_rsr_epc6_stateArgs,.0,.
8a9c0 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 0.},...{.1,.Iclass_xt_iclass_wsr
8a9e0 5f 65 70 63 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c _epc6_args,.....3,.Iclass_xt_icl
8aa00 61 73 73 5f 77 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a ass_wsr_epc6_stateArgs,.0,.0.},.
8aa20 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 ..{.1,.Iclass_xt_iclass_xsr_epc6
8aa40 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _args,.....3,.Iclass_xt_iclass_x
8aa60 73 72 5f 65 70 63 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 sr_epc6_stateArgs,.0,.0.},...{.1
8aa80 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 5f ,.Iclass_xt_iclass_rsr_excsave6_
8aaa0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....3,.Iclass_xt_iclass_rs
8aac0 72 5f 65 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_excsave6_stateArgs,.0,.0.},...
8aae0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 {.1,.Iclass_xt_iclass_wsr_excsav
8ab00 65 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 e6_args,.....3,.Iclass_xt_iclass
8ab20 5f 77 73 72 5f 65 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c _wsr_excsave6_stateArgs,.0,.0.},
8ab40 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 ...{.1,.Iclass_xt_iclass_xsr_exc
8ab60 73 61 76 65 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c save6_args,.....3,.Iclass_xt_icl
8ab80 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ass_xsr_excsave6_stateArgs,.0,.0
8aba0 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f .},...{.1,.Iclass_xt_iclass_rsr_
8abc0 65 70 63 37 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 epc7_args,.....3,.Iclass_xt_icla
8abe0 73 73 5f 72 73 72 5f 65 70 63 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 ss_rsr_epc7_stateArgs,.0,.0.},..
8ac00 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 37 5f .{.1,.Iclass_xt_iclass_wsr_epc7_
8ac20 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 args,.....3,.Iclass_xt_iclass_ws
8ac40 72 5f 65 70 63 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c r_epc7_stateArgs,.0,.0.},...{.1,
8ac60 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 5f 61 72 67 73 2c .Iclass_xt_iclass_xsr_epc7_args,
8ac80 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 .....3,.Iclass_xt_iclass_xsr_epc
8aca0 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 7_stateArgs,.0,.0.},...{.1,.Icla
8acc0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 37 5f 61 72 67 73 2c 0a ss_xt_iclass_rsr_excsave7_args,.
8ace0 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 ....3,.Iclass_xt_iclass_rsr_excs
8ad00 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 ave7_stateArgs,.0,.0.},...{.1,.I
8ad20 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 37 5f 61 72 67 class_xt_iclass_wsr_excsave7_arg
8ad40 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 s,.....3,.Iclass_xt_iclass_wsr_e
8ad60 78 63 73 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 xcsave7_stateArgs,.0,.0.},...{.1
8ad80 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 37 5f ,.Iclass_xt_iclass_xsr_excsave7_
8ada0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 args,.....3,.Iclass_xt_iclass_xs
8adc0 72 5f 65 78 63 73 61 76 65 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_excsave7_stateArgs,.0,.0.},...
8ade0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 32 5f 61 {.1,.Iclass_xt_iclass_rsr_eps2_a
8ae00 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 rgs,.....3,.Iclass_xt_iclass_rsr
8ae20 5f 65 70 73 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 _eps2_stateArgs,.0,.0.},...{.1,.
8ae40 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 5f 61 72 67 73 2c 0a Iclass_xt_iclass_wsr_eps2_args,.
8ae60 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 ....3,.Iclass_xt_iclass_wsr_eps2
8ae80 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 _stateArgs,.0,.0.},...{.1,.Iclas
8aea0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 32 5f 61 72 67 73 2c 0a 20 20 20 20 33 s_xt_iclass_xsr_eps2_args,.....3
8aec0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 32 5f 73 74 61 74 ,.Iclass_xt_iclass_xsr_eps2_stat
8aee0 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.1,.Iclass_xt_
8af00 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c iclass_rsr_eps3_args,.....3,.Icl
8af20 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 33 5f 73 74 61 74 65 41 72 67 73 ass_xt_iclass_rsr_eps3_stateArgs
8af40 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
8af60 73 5f 77 73 72 5f 65 70 73 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 s_wsr_eps3_args,.....3,.Iclass_x
8af80 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 33 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 t_iclass_wsr_eps3_stateArgs,.0,.
8afa0 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 0.},...{.1,.Iclass_xt_iclass_xsr
8afc0 5f 65 70 73 33 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c _eps3_args,.....3,.Iclass_xt_icl
8afe0 61 73 73 5f 78 73 72 5f 65 70 73 33 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a ass_xsr_eps3_stateArgs,.0,.0.},.
8b000 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 34 ..{.1,.Iclass_xt_iclass_rsr_eps4
8b020 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _args,.....3,.Iclass_xt_iclass_r
8b040 73 72 5f 65 70 73 34 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 sr_eps4_stateArgs,.0,.0.},...{.1
8b060 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 34 5f 61 72 67 73 ,.Iclass_xt_iclass_wsr_eps4_args
8b080 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 ,.....3,.Iclass_xt_iclass_wsr_ep
8b0a0 73 34 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c s4_stateArgs,.0,.0.},...{.1,.Icl
8b0c0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 5f 61 72 67 73 2c 0a 20 20 20 ass_xt_iclass_xsr_eps4_args,....
8b0e0 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 5f 73 74 .3,.Iclass_xt_iclass_xsr_eps4_st
8b100 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.1,.Iclass_x
8b120 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 t_iclass_rsr_eps5_args,.....3,.I
8b140 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 class_xt_iclass_rsr_eps5_stateAr
8b160 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c gs,.0,.0.},...{.1,.Iclass_xt_icl
8b180 61 73 73 5f 77 73 72 5f 65 70 73 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 ass_wsr_eps5_args,.....3,.Iclass
8b1a0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 _xt_iclass_wsr_eps5_stateArgs,.0
8b1c0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 ,.0.},...{.1,.Iclass_xt_iclass_x
8b1e0 73 72 5f 65 70 73 35 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 sr_eps5_args,.....3,.Iclass_xt_i
8b200 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 35 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d class_xsr_eps5_stateArgs,.0,.0.}
8b220 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 ,...{.1,.Iclass_xt_iclass_rsr_ep
8b240 73 36 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 s6_args,.....3,.Iclass_xt_iclass
8b260 5f 72 73 72 5f 65 70 73 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _rsr_eps6_stateArgs,.0,.0.},...{
8b280 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 5f 61 72 .1,.Iclass_xt_iclass_wsr_eps6_ar
8b2a0 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f gs,.....3,.Iclass_xt_iclass_wsr_
8b2c0 65 70 73 36 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 eps6_stateArgs,.0,.0.},...{.1,.I
8b2e0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 5f 61 72 67 73 2c 0a 20 class_xt_iclass_xsr_eps6_args,..
8b300 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 5f ...3,.Iclass_xt_iclass_xsr_eps6_
8b320 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
8b340 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c _xt_iclass_rsr_eps7_args,.....3,
8b360 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 5f 73 74 61 74 65 .Iclass_xt_iclass_rsr_eps7_state
8b380 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.1,.Iclass_xt_i
8b3a0 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 37 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 class_wsr_eps7_args,.....3,.Icla
8b3c0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 73 2c ss_xt_iclass_wsr_eps7_stateArgs,
8b3e0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
8b400 5f 78 73 72 5f 65 70 73 37 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 _xsr_eps7_args,.....3,.Iclass_xt
8b420 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 37 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 _iclass_xsr_eps7_stateArgs,.0,.0
8b440 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f .},...{.1,.Iclass_xt_iclass_rsr_
8b460 65 78 63 76 61 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f excvaddr_args,.....3,.Iclass_xt_
8b480 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 iclass_rsr_excvaddr_stateArgs,.0
8b4a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 ,.0.},...{.1,.Iclass_xt_iclass_w
8b4c0 73 72 5f 65 78 63 76 61 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f sr_excvaddr_args,.....3,.Iclass_
8b4e0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 xt_iclass_wsr_excvaddr_stateArgs
8b500 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
8b520 73 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 s_xsr_excvaddr_args,.....3,.Icla
8b540 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 73 74 61 74 65 41 ss_xt_iclass_xsr_excvaddr_stateA
8b560 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
8b580 6c 61 73 73 5f 72 73 72 5f 64 65 70 63 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 lass_rsr_depc_args,.....3,.Iclas
8b5a0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 65 70 63 5f 73 74 61 74 65 41 72 67 73 2c 20 s_xt_iclass_rsr_depc_stateArgs,.
8b5c0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
8b5e0 77 73 72 5f 64 65 70 63 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f wsr_depc_args,.....3,.Iclass_xt_
8b600 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 70 63 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 iclass_wsr_depc_stateArgs,.0,.0.
8b620 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 },...{.1,.Iclass_xt_iclass_xsr_d
8b640 65 70 63 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 epc_args,.....3,.Iclass_xt_iclas
8b660 73 5f 78 73 72 5f 64 65 70 63 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 s_xsr_depc_stateArgs,.0,.0.},...
8b680 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 63 61 75 {.1,.Iclass_xt_iclass_rsr_exccau
8b6a0 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 se_args,.....4,.Iclass_xt_iclass
8b6c0 5f 72 73 72 5f 65 78 63 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c _rsr_exccause_stateArgs,.0,.0.},
8b6e0 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 ...{.1,.Iclass_xt_iclass_wsr_exc
8b700 63 61 75 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c cause_args,.....3,.Iclass_xt_icl
8b720 61 73 73 5f 77 73 72 5f 65 78 63 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ass_wsr_exccause_stateArgs,.0,.0
8b740 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f .},...{.1,.Iclass_xt_iclass_xsr_
8b760 65 78 63 63 61 75 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f exccause_args,.....3,.Iclass_xt_
8b780 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 iclass_xsr_exccause_stateArgs,.0
8b7a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ,.0.},...{.1,.Iclass_xt_iclass_r
8b7c0 73 72 5f 6d 69 73 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f sr_misc0_args,.....3,.Iclass_xt_
8b7e0 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 iclass_rsr_misc0_stateArgs,.0,.0
8b800 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f .},...{.1,.Iclass_xt_iclass_wsr_
8b820 6d 69 73 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c misc0_args,.....3,.Iclass_xt_icl
8b840 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c ass_wsr_misc0_stateArgs,.0,.0.},
8b860 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 ...{.1,.Iclass_xt_iclass_xsr_mis
8b880 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 c0_args,.....3,.Iclass_xt_iclass
8b8a0 5f 78 73 72 5f 6d 69 73 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 _xsr_misc0_stateArgs,.0,.0.},...
8b8c0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 31 5f {.1,.Iclass_xt_iclass_rsr_misc1_
8b8e0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....3,.Iclass_xt_iclass_rs
8b900 72 5f 6d 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 r_misc1_stateArgs,.0,.0.},...{.1
8b920 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 69 73 63 31 5f 61 72 67 ,.Iclass_xt_iclass_wsr_misc1_arg
8b940 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d s,.....3,.Iclass_xt_iclass_wsr_m
8b960 69 73 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 isc1_stateArgs,.0,.0.},...{.1,.I
8b980 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 63 31 5f 61 72 67 73 2c 0a class_xt_iclass_xsr_misc1_args,.
8b9a0 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 63 ....3,.Iclass_xt_iclass_xsr_misc
8b9c0 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 1_stateArgs,.0,.0.},...{.1,.Icla
8b9e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 72 69 64 5f 61 72 67 73 2c 0a 20 20 20 20 ss_xt_iclass_rsr_prid_args,.....
8ba00 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 72 69 64 5f 73 74 61 2,.Iclass_xt_iclass_rsr_prid_sta
8ba20 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
8ba40 5f 69 63 6c 61 73 73 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c _iclass_rsr_vecbase_args,.....3,
8ba60 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 73 74 .Iclass_xt_iclass_rsr_vecbase_st
8ba80 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.1,.Iclass_x
8baa0 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 t_iclass_wsr_vecbase_args,.....3
8bac0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 73 ,.Iclass_xt_iclass_wsr_vecbase_s
8bae0 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
8bb00 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 xt_iclass_xsr_vecbase_args,.....
8bb20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 3,.Iclass_xt_iclass_xsr_vecbase_
8bb40 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.3,.Iclass
8bb60 5f 78 74 5f 6d 75 6c 31 36 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d _xt_mul16_args,.....0,.0,.0,.0.}
8bb80 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 6d 75 6c 33 32 5f 61 72 67 73 2c 0a 20 ,...{.3,.Iclass_xt_mul32_args,..
8bba0 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.2,.Iclass_x
8bbc0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 t_iclass_mac16_aa_args,.....1,.I
8bbe0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 5f 73 74 61 74 65 41 72 class_xt_iclass_mac16_aa_stateAr
8bc00 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c gs,.0,.0.},...{.2,.Iclass_xt_icl
8bc20 61 73 73 5f 6d 61 63 31 36 5f 61 64 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 ass_mac16_ad_args,.....1,.Iclass
8bc40 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 _xt_iclass_mac16_ad_stateArgs,.0
8bc60 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d ,.0.},...{.2,.Iclass_xt_iclass_m
8bc80 61 63 31 36 5f 64 61 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 ac16_da_args,.....1,.Iclass_xt_i
8bca0 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d class_mac16_da_stateArgs,.0,.0.}
8bcc0 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f ,...{.2,.Iclass_xt_iclass_mac16_
8bce0 64 64 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 dd_args,.....1,.Iclass_xt_iclass
8bd00 5f 6d 61 63 31 36 5f 64 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _mac16_dd_stateArgs,.0,.0.},...{
8bd20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 5f 61 .2,.Iclass_xt_iclass_mac16a_aa_a
8bd40 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 rgs,.....1,.Iclass_xt_iclass_mac
8bd60 31 36 61 5f 61 61 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 16a_aa_stateArgs,.0,.0.},...{.2,
8bd80 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 5f 61 72 67 73 .Iclass_xt_iclass_mac16a_ad_args
8bda0 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 ,.....1,.Iclass_xt_iclass_mac16a
8bdc0 5f 61 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 _ad_stateArgs,.0,.0.},...{.2,.Ic
8bde0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 61 5f 61 72 67 73 2c 0a 20 lass_xt_iclass_mac16a_da_args,..
8be00 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 61 ...1,.Iclass_xt_iclass_mac16a_da
8be20 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 _stateArgs,.0,.0.},...{.2,.Iclas
8be40 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 5f 61 72 67 73 2c 0a 20 20 20 20 s_xt_iclass_mac16a_dd_args,.....
8be60 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 5f 73 74 1,.Iclass_xt_iclass_mac16a_dd_st
8be80 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 34 2c 20 49 63 6c 61 73 73 5f 78 ateArgs,.0,.0.},...{.4,.Iclass_x
8bea0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c t_iclass_mac16al_da_args,.....1,
8bec0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 5f 73 74 61 .Iclass_xt_iclass_mac16al_da_sta
8bee0 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.4,.Iclass_xt
8bf00 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 _iclass_mac16al_dd_args,.....1,.
8bf20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 5f 73 74 61 74 Iclass_xt_iclass_mac16al_dd_stat
8bf40 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.2,.Iclass_xt_
8bf60 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 6c 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 iclass_mac16_l_args,.....0,.0,.0
8bf80 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ,.0.},...{.2,.Iclass_xt_iclass_r
8bfa0 73 72 5f 6d 30 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 sr_m0_args,.....0,.0,.0,.0.},...
8bfc0 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 30 5f 61 72 67 {.2,.Iclass_xt_iclass_wsr_m0_arg
8bfe0 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 s,.....0,.0,.0,.0.},...{.2,.Icla
8c000 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 30 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c ss_xt_iclass_xsr_m0_args,.....0,
8c020 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c .0,.0,.0.},...{.2,.Iclass_xt_icl
8c040 61 73 73 5f 72 73 72 5f 6d 31 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 ass_rsr_m1_args,.....0,.0,.0,.0.
8c060 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d },...{.2,.Iclass_xt_iclass_wsr_m
8c080 31 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 1_args,.....0,.0,.0,.0.},...{.2,
8c0a0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 31 5f 61 72 67 73 2c 0a 20 .Iclass_xt_iclass_xsr_m1_args,..
8c0c0 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 ...0,.0,.0,.0.},...{.2,.Iclass_x
8c0e0 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 32 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 t_iclass_rsr_m2_args,.....0,.0,.
8c100 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.2,.Iclass_xt_iclass_
8c120 77 73 72 5f 6d 32 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 wsr_m2_args,.....0,.0,.0,.0.},..
8c140 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 32 5f 61 72 .{.2,.Iclass_xt_iclass_xsr_m2_ar
8c160 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c gs,.....0,.0,.0,.0.},...{.2,.Icl
8c180 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 33 5f 61 72 67 73 2c 0a 20 20 20 20 30 ass_xt_iclass_rsr_m3_args,.....0
8c1a0 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 ,.0,.0,.0.},...{.2,.Iclass_xt_ic
8c1c0 6c 61 73 73 5f 77 73 72 5f 6d 33 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 lass_wsr_m3_args,.....0,.0,.0,.0
8c1e0 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f .},...{.2,.Iclass_xt_iclass_xsr_
8c200 6d 33 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 m3_args,.....0,.0,.0,.0.},...{.1
8c220 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 6c 6f 5f 61 72 67 ,.Iclass_xt_iclass_rsr_acclo_arg
8c240 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 s,.....1,.Iclass_xt_iclass_rsr_a
8c260 63 63 6c 6f 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 cclo_stateArgs,.0,.0.},...{.1,.I
8c280 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 2c 0a class_xt_iclass_wsr_acclo_args,.
8c2a0 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c ....1,.Iclass_xt_iclass_wsr_accl
8c2c0 6f 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 o_stateArgs,.0,.0.},...{.1,.Icla
8c2e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 5f 61 72 67 73 2c 0a 20 20 20 ss_xt_iclass_xsr_acclo_args,....
8c300 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 5f 73 .1,.Iclass_xt_iclass_xsr_acclo_s
8c320 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
8c340 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c xt_iclass_rsr_acchi_args,.....1,
8c360 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 5f 73 74 61 74 .Iclass_xt_iclass_rsr_acchi_stat
8c380 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.1,.Iclass_xt_
8c3a0 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 iclass_wsr_acchi_args,.....1,.Ic
8c3c0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 5f 73 74 61 74 65 41 72 lass_xt_iclass_wsr_acchi_stateAr
8c3e0 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c gs,.0,.0.},...{.1,.Iclass_xt_icl
8c400 61 73 73 5f 78 73 72 5f 61 63 63 68 69 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 ass_xsr_acchi_args,.....1,.Iclas
8c420 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 68 69 5f 73 74 61 74 65 41 72 67 73 2c s_xt_iclass_xsr_acchi_stateArgs,
8c440 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
8c460 5f 72 66 69 5f 61 72 67 73 2c 0a 20 20 20 20 32 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c _rfi_args,.....21,.Iclass_xt_icl
8c480 61 73 73 5f 72 66 69 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 ass_rfi_stateArgs,.0,.0.},...{.1
8c4a0 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 74 5f 61 72 67 73 2c 0a 20 20 ,.Iclass_xt_iclass_wait_args,...
8c4c0 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 74 5f 73 74 61 74 65 ..3,.Iclass_xt_iclass_wait_state
8c4e0 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 Args,.0,.0.},...{.1,.Iclass_xt_i
8c500 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c class_rsr_interrupt_args,.....3,
8c520 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 5f .Iclass_xt_iclass_rsr_interrupt_
8c540 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
8c560 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 73 65 74 5f 61 72 67 73 2c 0a 20 20 20 20 _xt_iclass_wsr_intset_args,.....
8c580 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 73 65 74 5f 73 4,.Iclass_xt_iclass_wsr_intset_s
8c5a0 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
8c5c0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 61 72 67 73 2c 0a 20 20 20 xt_iclass_wsr_intclear_args,....
8c5e0 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 .4,.Iclass_xt_iclass_wsr_intclea
8c600 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 r_stateArgs,.0,.0.},...{.1,.Icla
8c620 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 61 72 67 73 2c ss_xt_iclass_rsr_intenable_args,
8c640 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 .....3,.Iclass_xt_iclass_rsr_int
8c660 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c enable_stateArgs,.0,.0.},...{.1,
8c680 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f .Iclass_xt_iclass_wsr_intenable_
8c6a0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 args,.....3,.Iclass_xt_iclass_ws
8c6c0 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 r_intenable_stateArgs,.0,.0.},..
8c6e0 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 6e 74 65 6e .{.1,.Iclass_xt_iclass_xsr_inten
8c700 61 62 6c 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 able_args,.....3,.Iclass_xt_icla
8c720 73 73 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ss_xsr_intenable_stateArgs,.0,.0
8c740 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 .},...{.2,.Iclass_xt_iclass_brea
8c760 6b 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f k_args,.....2,.Iclass_xt_iclass_
8c780 62 72 65 61 6b 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 break_stateArgs,.0,.0.},...{.1,.
8c7a0 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 6b 5f 6e 5f 61 72 67 73 2c 0a 20 Iclass_xt_iclass_break_n_args,..
8c7c0 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 6b 5f 6e 5f 73 ...2,.Iclass_xt_iclass_break_n_s
8c7e0 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f tateArgs,.0,.0.},...{.1,.Iclass_
8c800 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 72 67 73 2c 0a 20 20 20 xt_iclass_rsr_dbreaka0_args,....
8c820 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 .3,.Iclass_xt_iclass_rsr_dbreaka
8c840 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 0_stateArgs,.0,.0.},...{.1,.Icla
8c860 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 72 67 73 2c 0a ss_xt_iclass_wsr_dbreaka0_args,.
8c880 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 ....4,.Iclass_xt_iclass_wsr_dbre
8c8a0 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 aka0_stateArgs,.0,.0.},...{.1,.I
8c8c0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 30 5f 61 72 67 class_xt_iclass_xsr_dbreaka0_arg
8c8e0 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 s,.....4,.Iclass_xt_iclass_xsr_d
8c900 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 breaka0_stateArgs,.0,.0.},...{.1
8c920 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 5f ,.Iclass_xt_iclass_rsr_dbreakc0_
8c940 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....3,.Iclass_xt_iclass_rs
8c960 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_dbreakc0_stateArgs,.0,.0.},...
8c980 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b {.1,.Iclass_xt_iclass_wsr_dbreak
8c9a0 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 c0_args,.....4,.Iclass_xt_iclass
8c9c0 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c _wsr_dbreakc0_stateArgs,.0,.0.},
8c9e0 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 ...{.1,.Iclass_xt_iclass_xsr_dbr
8ca00 65 61 6b 63 30 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c eakc0_args,.....4,.Iclass_xt_icl
8ca20 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ass_xsr_dbreakc0_stateArgs,.0,.0
8ca40 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f .},...{.1,.Iclass_xt_iclass_rsr_
8ca60 64 62 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f dbreaka1_args,.....3,.Iclass_xt_
8ca80 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 iclass_rsr_dbreaka1_stateArgs,.0
8caa0 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 ,.0.},...{.1,.Iclass_xt_iclass_w
8cac0 73 72 5f 64 62 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f sr_dbreaka1_args,.....4,.Iclass_
8cae0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 xt_iclass_wsr_dbreaka1_stateArgs
8cb00 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.1,.Iclass_xt_iclas
8cb20 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 s_xsr_dbreaka1_args,.....4,.Icla
8cb40 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 ss_xt_iclass_xsr_dbreaka1_stateA
8cb60 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
8cb80 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 lass_rsr_dbreakc1_args,.....3,.I
8cba0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 73 74 61 class_xt_iclass_rsr_dbreakc1_sta
8cbc0 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
8cbe0 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 2c 0a 20 20 20 20 34 _iclass_wsr_dbreakc1_args,.....4
8cc00 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f ,.Iclass_xt_iclass_wsr_dbreakc1_
8cc20 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
8cc40 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 31 5f 61 72 67 73 2c 0a 20 20 _xt_iclass_xsr_dbreakc1_args,...
8cc60 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b ..4,.Iclass_xt_iclass_xsr_dbreak
8cc80 63 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c c1_stateArgs,.0,.0.},...{.1,.Icl
8cca0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 61 72 67 73 2c ass_xt_iclass_rsr_ibreaka0_args,
8ccc0 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 .....3,.Iclass_xt_iclass_rsr_ibr
8cce0 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 eaka0_stateArgs,.0,.0.},...{.1,.
8cd00 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 5f 61 72 Iclass_xt_iclass_wsr_ibreaka0_ar
8cd20 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f gs,.....3,.Iclass_xt_iclass_wsr_
8cd40 69 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ibreaka0_stateArgs,.0,.0.},...{.
8cd60 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 1,.Iclass_xt_iclass_xsr_ibreaka0
8cd80 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 _args,.....3,.Iclass_xt_iclass_x
8cda0 73 72 5f 69 62 72 65 61 6b 61 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 sr_ibreaka0_stateArgs,.0,.0.},..
8cdc0 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 .{.1,.Iclass_xt_iclass_rsr_ibrea
8cde0 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ka1_args,.....3,.Iclass_xt_iclas
8ce00 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d s_rsr_ibreaka1_stateArgs,.0,.0.}
8ce20 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 ,...{.1,.Iclass_xt_iclass_wsr_ib
8ce40 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 reaka1_args,.....3,.Iclass_xt_ic
8ce60 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 lass_wsr_ibreaka1_stateArgs,.0,.
8ce80 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 0.},...{.1,.Iclass_xt_iclass_xsr
8cea0 5f 69 62 72 65 61 6b 61 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 _ibreaka1_args,.....3,.Iclass_xt
8cec0 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 5f 73 74 61 74 65 41 72 67 73 2c 20 _iclass_xsr_ibreaka1_stateArgs,.
8cee0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
8cf00 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 rsr_ibreakenable_args,.....3,.Ic
8cf20 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f lass_xt_iclass_rsr_ibreakenable_
8cf40 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.1,.Iclass
8cf60 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 61 72 67 73 _xt_iclass_wsr_ibreakenable_args
8cf80 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 ,.....3,.Iclass_xt_iclass_wsr_ib
8cfa0 72 65 61 6b 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 reakenable_stateArgs,.0,.0.},...
8cfc0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b {.1,.Iclass_xt_iclass_xsr_ibreak
8cfe0 65 6e 61 62 6c 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 enable_args,.....3,.Iclass_xt_ic
8d000 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c lass_xsr_ibreakenable_stateArgs,
8d020 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
8d040 5f 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c _rsr_debugcause_args,.....4,.Icl
8d060 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 73 74 61 ass_xt_iclass_rsr_debugcause_sta
8d080 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
8d0a0 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 67 73 2c 0a 20 20 20 _iclass_wsr_debugcause_args,....
8d0c0 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 .4,.Iclass_xt_iclass_wsr_debugca
8d0e0 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 use_stateArgs,.0,.0.},...{.1,.Ic
8d100 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 61 72 lass_xt_iclass_xsr_debugcause_ar
8d120 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f gs,.....4,.Iclass_xt_iclass_xsr_
8d140 64 65 62 75 67 63 61 75 73 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 debugcause_stateArgs,.0,.0.},...
8d160 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 63 6f 75 6e 74 {.1,.Iclass_xt_iclass_rsr_icount
8d180 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 _args,.....3,.Iclass_xt_iclass_r
8d1a0 73 72 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b sr_icount_stateArgs,.0,.0.},...{
8d1c0 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 5f .1,.Iclass_xt_iclass_wsr_icount_
8d1e0 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 args,.....4,.Iclass_xt_iclass_ws
8d200 72 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 r_icount_stateArgs,.0,.0.},...{.
8d220 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 5f 61 1,.Iclass_xt_iclass_xsr_icount_a
8d240 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 rgs,.....4,.Iclass_xt_iclass_xsr
8d260 5f 69 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 _icount_stateArgs,.0,.0.},...{.1
8d280 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 ,.Iclass_xt_iclass_rsr_icountlev
8d2a0 65 6c 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 el_args,.....3,.Iclass_xt_iclass
8d2c0 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 _rsr_icountlevel_stateArgs,.0,.0
8d2e0 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f .},...{.1,.Iclass_xt_iclass_wsr_
8d300 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f icountlevel_args,.....3,.Iclass_
8d320 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 73 74 61 74 65 41 xt_iclass_wsr_icountlevel_stateA
8d340 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 rgs,.0,.0.},...{.1,.Iclass_xt_ic
8d360 6c 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 61 72 67 73 2c 0a 20 20 20 20 33 lass_xsr_icountlevel_args,.....3
8d380 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 ,.Iclass_xt_iclass_xsr_icountlev
8d3a0 65 6c 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c el_stateArgs,.0,.0.},...{.1,.Icl
8d3c0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 ass_xt_iclass_rsr_ddr_args,.....
8d3e0 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 5f 73 74 61 74 3,.Iclass_xt_iclass_rsr_ddr_stat
8d400 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.1,.Iclass_xt_
8d420 69 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 iclass_wsr_ddr_args,.....4,.Icla
8d440 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 ss_xt_iclass_wsr_ddr_stateArgs,.
8d460 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
8d480 78 73 72 5f 64 64 72 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 xsr_ddr_args,.....4,.Iclass_xt_i
8d4a0 63 6c 61 73 73 5f 78 73 72 5f 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c class_xsr_ddr_stateArgs,.0,.0.},
8d4c0 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 6f 5f 61 72 ...{.1,.Iclass_xt_iclass_rfdo_ar
8d4e0 67 73 2c 0a 20 20 20 20 31 30 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 gs,.....10,.Iclass_xt_iclass_rfd
8d500 6f 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a o_stateArgs,.0,.0.},...{.0,.0./*
8d520 20 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 20 2a 2f 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 .xt_iclass_rfdd.*/,.....1,.Iclas
8d540 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 s_xt_iclass_rfdd_stateArgs,.0,.0
8d560 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f .},...{.1,.Iclass_xt_iclass_wsr_
8d580 6d 6d 69 64 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 mmid_args,.....3,.Iclass_xt_icla
8d5a0 73 73 5f 77 73 72 5f 6d 6d 69 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 ss_wsr_mmid_stateArgs,.0,.0.},..
8d5c0 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 75 6e .{.1,.Iclass_xt_iclass_rsr_ccoun
8d5e0 74 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f t_args,.....3,.Iclass_xt_iclass_
8d600 72 73 72 5f 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 rsr_ccount_stateArgs,.0,.0.},...
8d620 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 75 6e 74 {.1,.Iclass_xt_iclass_wsr_ccount
8d640 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 _args,.....4,.Iclass_xt_iclass_w
8d660 73 72 5f 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b sr_ccount_stateArgs,.0,.0.},...{
8d680 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 75 6e 74 5f .1,.Iclass_xt_iclass_xsr_ccount_
8d6a0 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 args,.....4,.Iclass_xt_iclass_xs
8d6c0 72 5f 63 63 6f 75 6e 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 r_ccount_stateArgs,.0,.0.},...{.
8d6e0 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 1,.Iclass_xt_iclass_rsr_ccompare
8d700 30 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0_args,.....3,.Iclass_xt_iclass_
8d720 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c rsr_ccompare0_stateArgs,.0,.0.},
8d740 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f ...{.1,.Iclass_xt_iclass_wsr_cco
8d760 6d 70 61 72 65 30 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 mpare0_args,.....4,.Iclass_xt_ic
8d780 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c lass_wsr_ccompare0_stateArgs,.0,
8d7a0 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 .0.},...{.1,.Iclass_xt_iclass_xs
8d7c0 72 5f 63 63 6f 6d 70 61 72 65 30 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f r_ccompare0_args,.....4,.Iclass_
8d7e0 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 73 74 61 74 65 41 72 67 xt_iclass_xsr_ccompare0_stateArg
8d800 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 s,.0,.0.},...{.1,.Iclass_xt_icla
8d820 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 ss_rsr_ccompare1_args,.....3,.Ic
8d840 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 73 74 61 lass_xt_iclass_rsr_ccompare1_sta
8d860 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.1,.Iclass_xt
8d880 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 _iclass_wsr_ccompare1_args,.....
8d8a0 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 4,.Iclass_xt_iclass_wsr_ccompare
8d8c0 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 1_stateArgs,.0,.0.},...{.1,.Icla
8d8e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 61 72 67 73 2c ss_xt_iclass_xsr_ccompare1_args,
8d900 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f .....4,.Iclass_xt_iclass_xsr_cco
8d920 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c mpare1_stateArgs,.0,.0.},...{.1,
8d940 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f .Iclass_xt_iclass_rsr_ccompare2_
8d960 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....3,.Iclass_xt_iclass_rs
8d980 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 r_ccompare2_stateArgs,.0,.0.},..
8d9a0 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 .{.1,.Iclass_xt_iclass_wsr_ccomp
8d9c0 61 72 65 32 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 are2_args,.....4,.Iclass_xt_icla
8d9e0 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 ss_wsr_ccompare2_stateArgs,.0,.0
8da00 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f .},...{.1,.Iclass_xt_iclass_xsr_
8da20 63 63 6f 6d 70 61 72 65 32 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 ccompare2_args,.....4,.Iclass_xt
8da40 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 73 74 61 74 65 41 72 67 73 2c _iclass_xsr_ccompare2_stateArgs,
8da60 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.2,.Iclass_xt_iclass
8da80 5f 69 63 61 63 68 65 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a _icache_args,.....0,.0,.0,.0.},.
8daa0 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f 6c ..{.2,.Iclass_xt_iclass_icache_l
8dac0 6f 63 6b 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ock_args,.....2,.Iclass_xt_iclas
8dae0 73 5f 69 63 61 63 68 65 5f 6c 6f 63 6b 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c s_icache_lock_stateArgs,.0,.0.},
8db00 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f ...{.2,.Iclass_xt_iclass_icache_
8db20 69 6e 76 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 inv_args,.....2,.Iclass_xt_iclas
8db40 73 5f 69 63 61 63 68 65 5f 69 6e 76 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a s_icache_inv_stateArgs,.0,.0.},.
8db60 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 63 78 5f 61 72 67 ..{.2,.Iclass_xt_iclass_licx_arg
8db80 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 63 78 5f s,.....2,.Iclass_xt_iclass_licx_
8dba0 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 stateArgs,.0,.0.},...{.2,.Iclass
8dbc0 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c _xt_iclass_sicx_args,.....2,.Icl
8dbe0 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c ass_xt_iclass_sicx_stateArgs,.0,
8dc00 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 .0.},...{.2,.Iclass_xt_iclass_dc
8dc20 61 63 68 65 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b ache_args,.....0,.0,.0,.0.},...{
8dc40 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 64 79 6e 5f .1,.Iclass_xt_iclass_dcache_dyn_
8dc60 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 args,.....2,.Iclass_xt_iclass_dc
8dc80 61 63 68 65 5f 64 79 6e 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ache_dyn_stateArgs,.0,.0.},...{.
8dca0 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 5f 61 2,.Iclass_xt_iclass_dcache_ind_a
8dcc0 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 rgs,.....2,.Iclass_xt_iclass_dca
8dce0 63 68 65 5f 69 6e 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 che_ind_stateArgs,.0,.0.},...{.2
8dd00 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 76 5f 61 72 ,.Iclass_xt_iclass_dcache_inv_ar
8dd20 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 gs,.....2,.Iclass_xt_iclass_dcac
8dd40 68 65 5f 69 6e 76 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c he_inv_stateArgs,.0,.0.},...{.2,
8dd60 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 70 66 5f 61 72 67 73 2c 0a 20 20 20 20 .Iclass_xt_iclass_dpf_args,.....
8dd80 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 0,.0,.0,.0.},...{.2,.Iclass_xt_i
8dda0 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c 6f 63 6b 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 class_dcache_lock_args,.....2,.I
8ddc0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c 6f 63 6b 5f 73 74 61 74 class_xt_iclass_dcache_lock_stat
8dde0 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.2,.Iclass_xt_
8de00 69 63 6c 61 73 73 5f 73 64 63 74 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f iclass_sdct_args,.....2,.Iclass_
8de20 78 74 5f 69 63 6c 61 73 73 5f 73 64 63 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d xt_iclass_sdct_stateArgs,.0,.0.}
8de40 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 74 5f 61 ,...{.2,.Iclass_xt_iclass_ldct_a
8de60 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 rgs,.....2,.Iclass_xt_iclass_ldc
8de80 74 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 t_stateArgs,.0,.0.},...{.1,.Icla
8dea0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 61 72 67 73 2c 0a ss_xt_iclass_wsr_ptevaddr_args,.
8dec0 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 ....4,.Iclass_xt_iclass_wsr_ptev
8dee0 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 addr_stateArgs,.0,.0.},...{.1,.I
8df00 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 61 72 67 class_xt_iclass_rsr_ptevaddr_arg
8df20 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 s,.....4,.Iclass_xt_iclass_rsr_p
8df40 74 65 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 tevaddr_stateArgs,.0,.0.},...{.1
8df60 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 72 5f ,.Iclass_xt_iclass_xsr_ptevaddr_
8df80 61 72 67 73 2c 0a 20 20 20 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 args,.....5,.Iclass_xt_iclass_xs
8dfa0 72 5f 70 74 65 76 61 64 64 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_ptevaddr_stateArgs,.0,.0.},...
8dfc0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 5f {.1,.Iclass_xt_iclass_rsr_rasid_
8dfe0 61 72 67 73 2c 0a 20 20 20 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 args,.....5,.Iclass_xt_iclass_rs
8e000 72 5f 72 61 73 69 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 r_rasid_stateArgs,.0,.0.},...{.1
8e020 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 5f 61 72 67 ,.Iclass_xt_iclass_wsr_rasid_arg
8e040 73 2c 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 s,.....6,.Iclass_xt_iclass_wsr_r
8e060 61 73 69 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 asid_stateArgs,.0,.0.},...{.1,.I
8e080 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 72 61 73 69 64 5f 61 72 67 73 2c 0a class_xt_iclass_xsr_rasid_args,.
8e0a0 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 72 61 73 69 ....6,.Iclass_xt_iclass_xsr_rasi
8e0c0 64 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 d_stateArgs,.0,.0.},...{.1,.Icla
8e0e0 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 2c 0a 20 ss_xt_iclass_rsr_itlbcfg_args,..
8e100 20 20 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 ...5,.Iclass_xt_iclass_rsr_itlbc
8e120 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c fg_stateArgs,.0,.0.},...{.1,.Icl
8e140 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 2c 0a ass_xt_iclass_wsr_itlbcfg_args,.
8e160 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 74 6c 62 ....6,.Iclass_xt_iclass_wsr_itlb
8e180 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 cfg_stateArgs,.0,.0.},...{.1,.Ic
8e1a0 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 61 72 67 73 2c lass_xt_iclass_xsr_itlbcfg_args,
8e1c0 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c .....6,.Iclass_xt_iclass_xsr_itl
8e1e0 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 bcfg_stateArgs,.0,.0.},...{.1,.I
8e200 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 67 73 class_xt_iclass_rsr_dtlbcfg_args
8e220 2c 0a 20 20 20 20 35 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 ,.....5,.Iclass_xt_iclass_rsr_dt
8e240 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 lbcfg_stateArgs,.0,.0.},...{.1,.
8e260 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 67 Iclass_xt_iclass_wsr_dtlbcfg_arg
8e280 73 2c 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 s,.....6,.Iclass_xt_iclass_wsr_d
8e2a0 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c tlbcfg_stateArgs,.0,.0.},...{.1,
8e2c0 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 61 72 .Iclass_xt_iclass_xsr_dtlbcfg_ar
8e2e0 67 73 2c 0a 20 20 20 20 36 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f gs,.....6,.Iclass_xt_iclass_xsr_
8e300 64 74 6c 62 63 66 67 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 dtlbcfg_stateArgs,.0,.0.},...{.1
8e320 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 5f 61 72 67 73 2c 0a 20 ,.Iclass_xt_iclass_idtlb_args,..
8e340 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 5f 73 74 61 ...3,.Iclass_xt_iclass_idtlb_sta
8e360 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 teArgs,.0,.0.},...{.2,.Iclass_xt
8e380 5f 69 63 6c 61 73 73 5f 72 64 74 6c 62 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 _iclass_rdtlb_args,.....2,.Iclas
8e3a0 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 64 74 6c 62 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 s_xt_iclass_rdtlb_stateArgs,.0,.
8e3c0 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 64 74 0.},...{.2,.Iclass_xt_iclass_wdt
8e3e0 6c 62 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 lb_args,.....3,.Iclass_xt_iclass
8e400 5f 77 64 74 6c 62 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c _wdtlb_stateArgs,.0,.0.},...{.1,
8e420 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 5f 61 72 67 73 2c 0a 20 20 .Iclass_xt_iclass_iitlb_args,...
8e440 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 5f 73 74 61 74 ..2,.Iclass_xt_iclass_iitlb_stat
8e460 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.2,.Iclass_xt_
8e480 69 63 6c 61 73 73 5f 72 69 74 6c 62 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 iclass_ritlb_args,.....2,.Iclass
8e4a0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 _xt_iclass_ritlb_stateArgs,.0,.0
8e4c0 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 69 74 6c .},...{.2,.Iclass_xt_iclass_witl
8e4e0 62 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f b_args,.....2,.Iclass_xt_iclass_
8e500 77 69 74 6c 62 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 witlb_stateArgs,.0,.0.},...{.0,.
8e520 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 6c 64 70 74 65 20 2a 2f 2c 0a 20 20 20 20 32 2c 20 0./*.xt_iclass_ldpte.*/,.....2,.
8e540 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 70 74 65 5f 73 74 61 74 65 41 72 67 73 Iclass_xt_iclass_ldpte_stateArgs
8e560 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f ,.0,.0.},...{.0,.0./*.xt_iclass_
8e580 68 77 77 69 74 6c 62 61 20 2a 2f 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 hwwitlba.*/,.....1,.Iclass_xt_ic
8e5a0 6c 61 73 73 5f 68 77 77 69 74 6c 62 61 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c lass_hwwitlba_stateArgs,.0,.0.},
8e5c0 0a 20 20 7b 20 30 2c 20 30 20 2f 2a 20 78 74 5f 69 63 6c 61 73 73 5f 68 77 77 64 74 6c 62 61 20 ...{.0,.0./*.xt_iclass_hwwdtlba.
8e5e0 2a 2f 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 68 77 77 64 */,.....1,.Iclass_xt_iclass_hwwd
8e600 74 6c 62 61 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 tlba_stateArgs,.0,.0.},...{.1,.I
8e620 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 61 72 67 class_xt_iclass_rsr_cpenable_arg
8e640 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 s,.....3,.Iclass_xt_iclass_rsr_c
8e660 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 penable_stateArgs,.0,.0.},...{.1
8e680 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 70 65 6e 61 62 6c 65 5f ,.Iclass_xt_iclass_wsr_cpenable_
8e6a0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 args,.....3,.Iclass_xt_iclass_ws
8e6c0 72 5f 63 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_cpenable_stateArgs,.0,.0.},...
8e6e0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 70 65 6e 61 62 {.1,.Iclass_xt_iclass_xsr_cpenab
8e700 6c 65 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 le_args,.....3,.Iclass_xt_iclass
8e720 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c _xsr_cpenable_stateArgs,.0,.0.},
8e740 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 63 6c 61 6d 70 5f 61 ...{.3,.Iclass_xt_iclass_clamp_a
8e760 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 rgs,.....0,.0,.0,.0.},...{.3,.Ic
8e780 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d 61 78 5f 61 72 67 73 2c 0a 20 20 20 20 lass_xt_iclass_minmax_args,.....
8e7a0 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 0,.0,.0,.0.},...{.2,.Iclass_xt_i
8e7c0 63 6c 61 73 73 5f 6e 73 61 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d class_nsa_args,.....0,.0,.0,.0.}
8e7e0 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 78 5f 61 72 67 ,...{.3,.Iclass_xt_iclass_sx_arg
8e800 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 s,.....0,.0,.0,.0.},...{.3,.Icla
8e820 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 61 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 ss_xt_iclass_l32ai_args,.....0,.
8e840 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 0,.0,.0.},...{.3,.Iclass_xt_icla
8e860 73 73 5f 73 33 32 72 69 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c ss_s32ri_args,.....0,.0,.0,.0.},
8e880 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 63 31 69 5f ...{.3,.Iclass_xt_iclass_s32c1i_
8e8a0 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 args,.....3,.Iclass_xt_iclass_s3
8e8c0 32 63 31 69 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 2c1i_stateArgs,.0,.0.},...{.1,.I
8e8e0 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 61 72 class_xt_iclass_rsr_scompare1_ar
8e900 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f gs,.....1,.Iclass_xt_iclass_rsr_
8e920 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b scompare1_stateArgs,.0,.0.},...{
8e940 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f 6d 70 61 72 .1,.Iclass_xt_iclass_wsr_scompar
8e960 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 e1_args,.....1,.Iclass_xt_iclass
8e980 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d _wsr_scompare1_stateArgs,.0,.0.}
8e9a0 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 63 ,...{.1,.Iclass_xt_iclass_xsr_sc
8e9c0 6f 6d 70 61 72 65 31 5f 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 ompare1_args,.....1,.Iclass_xt_i
8e9e0 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 73 74 61 74 65 41 72 67 73 2c 20 30 class_xsr_scompare1_stateArgs,.0
8ea00 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ,.0.},...{.1,.Iclass_xt_iclass_r
8ea20 73 72 5f 61 74 6f 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 33 2c 20 49 63 6c 61 73 73 5f 78 sr_atomctl_args,.....3,.Iclass_x
8ea40 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 2c 20 t_iclass_rsr_atomctl_stateArgs,.
8ea60 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 0,.0.},...{.1,.Iclass_xt_iclass_
8ea80 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 5f wsr_atomctl_args,.....4,.Iclass_
8eaa0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 2c xt_iclass_wsr_atomctl_stateArgs,
8eac0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 .0,.0.},...{.1,.Iclass_xt_iclass
8eae0 5f 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 61 72 67 73 2c 0a 20 20 20 20 34 2c 20 49 63 6c 61 73 73 _xsr_atomctl_args,.....4,.Iclass
8eb00 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 73 74 61 74 65 41 72 67 73 _xt_iclass_xsr_atomctl_stateArgs
8eb20 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 33 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 ,.0,.0.},...{.3,.Iclass_xt_iclas
8eb40 73 5f 64 69 76 5f 61 72 67 73 2c 0a 20 20 20 20 30 2c 20 30 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 s_div_args,.....0,.0,.0,.0.},...
8eb60 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 5f 61 72 67 73 2c 0a {.2,.Iclass_xt_iclass_rer_args,.
8eb80 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 5f 73 74 61 74 ....2,.Iclass_xt_iclass_rer_stat
8eba0 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 2c 20 49 63 6c 61 73 73 5f 78 74 5f eArgs,.0,.0.},...{.2,.Iclass_xt_
8ebc0 69 63 6c 61 73 73 5f 77 65 72 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 78 iclass_wer_args,.....2,.Iclass_x
8ebe0 74 5f 69 63 6c 61 73 73 5f 77 65 72 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a t_iclass_wer_stateArgs,.0,.0.},.
8ec00 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 61 72 67 73 2c ..{.1,.Iclass_rur_expstate_args,
8ec20 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 73 74 61 74 .....2,.Iclass_rur_expstate_stat
8ec40 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 31 2c 20 49 63 6c 61 73 73 5f 77 75 72 eArgs,.0,.0.},...{.1,.Iclass_wur
8ec60 5f 65 78 70 73 74 61 74 65 5f 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 77 75 _expstate_args,.....2,.Iclass_wu
8ec80 72 5f 65 78 70 73 74 61 74 65 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 r_expstate_stateArgs,.0,.0.},...
8eca0 7b 20 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f {.1,.Iclass_iclass_READ_IMPWIRE_
8ecc0 61 72 67 73 2c 0a 20 20 20 20 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 52 45 41 44 5f args,.....1,.Iclass_iclass_READ_
8ece0 49 4d 50 57 49 52 45 5f 73 74 61 74 65 41 72 67 73 2c 20 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c IMPWIRE_stateArgs,.1,.Iclass_icl
8ed00 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 5f 69 6e 74 66 41 72 67 73 20 7d 2c 0a 20 20 7b ass_READ_IMPWIRE_intfArgs.},...{
8ed20 20 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 5f .1,.Iclass_iclass_SETB_EXPSTATE_
8ed40 61 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 53 45 54 42 5f args,.....2,.Iclass_iclass_SETB_
8ed60 45 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 EXPSTATE_stateArgs,.0,.0.},...{.
8ed80 31 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 43 4c 52 42 5f 45 58 50 53 54 41 54 45 5f 61 1,.Iclass_iclass_CLRB_EXPSTATE_a
8eda0 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 43 4c 52 42 5f 45 rgs,.....2,.Iclass_iclass_CLRB_E
8edc0 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 32 XPSTATE_stateArgs,.0,.0.},...{.2
8ede0 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 5f 61 ,.Iclass_iclass_WRMSK_EXPSTATE_a
8ee00 72 67 73 2c 0a 20 20 20 20 32 2c 20 49 63 6c 61 73 73 5f 69 63 6c 61 73 73 5f 57 52 4d 53 4b 5f rgs,.....2,.Iclass_iclass_WRMSK_
8ee20 45 58 50 53 54 41 54 45 5f 73 74 61 74 65 41 72 67 73 2c 20 30 2c 20 30 20 7d 0a 7d 3b 0a 0a 65 EXPSTATE_stateArgs,.0,.0.}.};..e
8ee40 6e 75 6d 20 78 74 65 6e 73 61 5f 69 63 6c 61 73 73 5f 69 64 20 7b 0a 20 20 49 43 4c 41 53 53 5f num.xtensa_iclass_id.{...ICLASS_
8ee60 78 74 5f 69 63 6c 61 73 73 5f 65 78 63 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 xt_iclass_excw,...ICLASS_xt_icla
8ee80 73 73 5f 72 66 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 65 2c ss_rfe,...ICLASS_xt_iclass_rfde,
8eea0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 73 63 61 6c 6c 2c 0a 20 20 49 ...ICLASS_xt_iclass_syscall,...I
8eec0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 31 32 2c 0a 20 20 49 43 4c 41 53 53 CLASS_xt_iclass_call12,...ICLASS
8eee0 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 38 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 _xt_iclass_call8,...ICLASS_xt_ic
8ef00 6c 61 73 73 5f 63 61 6c 6c 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 lass_call4,...ICLASS_xt_iclass_c
8ef20 61 6c 6c 78 31 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 allx12,...ICLASS_xt_iclass_callx
8ef40 38 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 34 2c 0a 20 20 8,...ICLASS_xt_iclass_callx4,...
8ef60 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 65 6e 74 72 79 2c 0a 20 20 49 43 4c 41 53 53 ICLASS_xt_iclass_entry,...ICLASS
8ef80 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 73 70 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 _xt_iclass_movsp,...ICLASS_xt_ic
8efa0 6c 61 73 73 5f 72 6f 74 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 lass_rotw,...ICLASS_xt_iclass_re
8efc0 74 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 77 6f 75 2c 0a 20 20 tw,...ICLASS_xt_iclass_rfwou,...
8efe0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 65 2c 0a 20 20 49 43 4c 41 53 53 5f ICLASS_xt_iclass_l32e,...ICLASS_
8f000 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 xt_iclass_s32e,...ICLASS_xt_icla
8f020 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 ss_rsr_windowbase,...ICLASS_xt_i
8f040 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 class_wsr_windowbase,...ICLASS_x
8f060 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 t_iclass_xsr_windowbase,...ICLAS
8f080 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 2c 0a 20 20 49 S_xt_iclass_rsr_windowstart,...I
8f0a0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 2c CLASS_xt_iclass_wsr_windowstart,
8f0c0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 ...ICLASS_xt_iclass_xsr_windowst
8f0e0 61 72 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 5f 6e 2c 0a 20 art,...ICLASS_xt_iclass_add_n,..
8f100 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 5f 6e 2c 0a 20 20 49 43 4c 41 .ICLASS_xt_iclass_addi_n,...ICLA
8f120 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 7a 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 SS_xt_iclass_bz6,...ICLASS_xt_ic
8f140 6c 61 73 73 5f 69 6c 6c 5f 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c lass_ill_n,...ICLASS_xt_iclass_l
8f160 6f 61 64 69 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 5f 6e 2c oadi4,...ICLASS_xt_iclass_mov_n,
8f180 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 69 5f 6e 2c 0a 20 20 49 43 ...ICLASS_xt_iclass_movi_n,...IC
8f1a0 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 LASS_xt_iclass_nopn,...ICLASS_xt
8f1c0 5f 69 63 6c 61 73 73 5f 72 65 74 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 _iclass_retn,...ICLASS_xt_iclass
8f1e0 5f 73 74 6f 72 65 69 34 2c 0a 20 20 49 43 4c 41 53 53 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 _storei4,...ICLASS_rur_threadptr
8f200 2c 0a 20 20 49 43 4c 41 53 53 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 2c 0a 20 20 49 43 4c 41 ,...ICLASS_wur_threadptr,...ICLA
8f220 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 SS_xt_iclass_addi,...ICLASS_xt_i
8f240 63 6c 61 73 73 5f 61 64 64 6d 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f class_addmi,...ICLASS_xt_iclass_
8f260 61 64 64 73 75 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 69 74 2c 0a addsub,...ICLASS_xt_iclass_bit,.
8f280 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 2c 0a 20 20 49 43 4c 41 53 ..ICLASS_xt_iclass_bsi8,...ICLAS
8f2a0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 S_xt_iclass_bsi8b,...ICLASS_xt_i
8f2c0 63 6c 61 73 73 5f 62 73 69 38 75 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f class_bsi8u,...ICLASS_xt_iclass_
8f2e0 62 73 74 38 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 2c 0a bst8,...ICLASS_xt_iclass_bsz12,.
8f300 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 30 2c 0a 20 20 49 43 4c 41 ..ICLASS_xt_iclass_call0,...ICLA
8f320 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 SS_xt_iclass_callx0,...ICLASS_xt
8f340 5f 69 63 6c 61 73 73 5f 65 78 74 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 _iclass_exti,...ICLASS_xt_iclass
8f360 5f 69 6c 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 2c 0a 20 _ill,...ICLASS_xt_iclass_jump,..
8f380 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 78 2c 0a 20 20 49 43 4c 41 53 .ICLASS_xt_iclass_jumpx,...ICLAS
8f3a0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 36 75 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 S_xt_iclass_l16ui,...ICLASS_xt_i
8f3c0 63 6c 61 73 73 5f 6c 31 36 73 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f class_l16si,...ICLASS_xt_iclass_
8f3e0 6c 33 32 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 72 2c 0a 20 l32i,...ICLASS_xt_iclass_l32r,..
8f400 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 38 69 2c 0a 20 20 49 43 4c 41 53 53 5f .ICLASS_xt_iclass_l8i,...ICLASS_
8f420 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 xt_iclass_loop,...ICLASS_xt_icla
8f440 73 73 5f 6c 6f 6f 70 7a 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 ss_loopz,...ICLASS_xt_iclass_mov
8f460 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 20 49 43 i,...ICLASS_xt_iclass_movz,...IC
8f480 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 65 67 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f LASS_xt_iclass_neg,...ICLASS_xt_
8f4a0 69 63 6c 61 73 73 5f 6e 6f 70 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 iclass_nop,...ICLASS_xt_iclass_r
8f4c0 65 74 75 72 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 6d 63 61 6c eturn,...ICLASS_xt_iclass_simcal
8f4e0 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 31 36 69 2c 0a 20 20 49 43 l,...ICLASS_xt_iclass_s16i,...IC
8f500 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 LASS_xt_iclass_s32i,...ICLASS_xt
8f520 5f 69 63 6c 61 73 73 5f 73 33 32 6e 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 _iclass_s32nb,...ICLASS_xt_iclas
8f540 73 5f 73 38 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 2c 0a 20 s_s8i,...ICLASS_xt_iclass_sar,..
8f560 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 69 2c 0a 20 20 49 43 4c 41 53 53 .ICLASS_xt_iclass_sari,...ICLASS
8f580 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 _xt_iclass_shifts,...ICLASS_xt_i
8f5a0 63 6c 61 73 73 5f 73 68 69 66 74 73 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 class_shiftst,...ICLASS_xt_iclas
8f5c0 73 5f 73 68 69 66 74 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 6c 6c s_shiftt,...ICLASS_xt_iclass_sll
8f5e0 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 61 69 2c 0a 20 20 49 43 i,...ICLASS_xt_iclass_srai,...IC
8f600 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 6c 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 LASS_xt_iclass_srli,...ICLASS_xt
8f620 5f 69 63 6c 61 73 73 5f 6d 65 6d 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 _iclass_memw,...ICLASS_xt_iclass
8f640 5f 65 78 74 77 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 73 79 6e 63 2c _extw,...ICLASS_xt_iclass_isync,
8f660 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 2c 0a 20 20 49 43 4c 41 ...ICLASS_xt_iclass_sync,...ICLA
8f680 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 SS_xt_iclass_rsil,...ICLASS_xt_i
8f6a0 63 6c 61 73 73 5f 72 73 72 5f 6c 65 6e 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 class_rsr_lend,...ICLASS_xt_icla
8f6c0 73 73 5f 77 73 72 5f 6c 65 6e 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ss_wsr_lend,...ICLASS_xt_iclass_
8f6e0 78 73 72 5f 6c 65 6e 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 xsr_lend,...ICLASS_xt_iclass_rsr
8f700 5f 6c 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f _lcount,...ICLASS_xt_iclass_wsr_
8f720 6c 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c lcount,...ICLASS_xt_iclass_xsr_l
8f740 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 count,...ICLASS_xt_iclass_rsr_lb
8f760 65 67 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 62 65 67 2c eg,...ICLASS_xt_iclass_wsr_lbeg,
8f780 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 62 65 67 2c 0a 20 20 ...ICLASS_xt_iclass_xsr_lbeg,...
8f7a0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 73 61 72 2c 0a 20 20 49 43 4c 41 ICLASS_xt_iclass_rsr_sar,...ICLA
8f7c0 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 61 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 SS_xt_iclass_wsr_sar,...ICLASS_x
8f7e0 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 t_iclass_xsr_sar,...ICLASS_xt_ic
8f800 6c 61 73 73 5f 72 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c lass_rsr_memctl,...ICLASS_xt_icl
8f820 61 73 73 5f 77 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ass_wsr_memctl,...ICLASS_xt_icla
8f840 73 73 5f 78 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ss_xsr_memctl,...ICLASS_xt_iclas
8f860 73 5f 72 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 s_rsr_litbase,...ICLASS_xt_iclas
8f880 73 5f 77 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 s_wsr_litbase,...ICLASS_xt_iclas
8f8a0 73 5f 78 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 s_xsr_litbase,...ICLASS_xt_iclas
8f8c0 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c s_rsr_configid0,...ICLASS_xt_icl
8f8e0 61 73 73 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 ass_wsr_configid0,...ICLASS_xt_i
8f900 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 class_rsr_configid1,...ICLASS_xt
8f920 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 73 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 _iclass_rsr_ps,...ICLASS_xt_icla
8f940 73 73 5f 77 73 72 5f 70 73 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 ss_wsr_ps,...ICLASS_xt_iclass_xs
8f960 72 5f 70 73 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 r_ps,...ICLASS_xt_iclass_rsr_epc
8f980 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 31 2c 0a 1,...ICLASS_xt_iclass_wsr_epc1,.
8f9a0 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 2c 0a 20 20 49 ..ICLASS_xt_iclass_xsr_epc1,...I
8f9c0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 2c 0a 20 20 CLASS_xt_iclass_rsr_excsave1,...
8f9e0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 31 2c 0a 20 ICLASS_xt_iclass_wsr_excsave1,..
8fa00 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 31 2c 0a .ICLASS_xt_iclass_xsr_excsave1,.
8fa20 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 32 2c 0a 20 20 49 ..ICLASS_xt_iclass_rsr_epc2,...I
8fa40 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 32 2c 0a 20 20 49 43 4c 41 CLASS_xt_iclass_wsr_epc2,...ICLA
8fa60 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 32 2c 0a 20 20 49 43 4c 41 53 53 5f SS_xt_iclass_xsr_epc2,...ICLASS_
8fa80 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 32 2c 0a 20 20 49 43 4c 41 53 53 xt_iclass_rsr_excsave2,...ICLASS
8faa0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 32 2c 0a 20 20 49 43 4c 41 53 _xt_iclass_wsr_excsave2,...ICLAS
8fac0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 32 2c 0a 20 20 49 43 4c 41 S_xt_iclass_xsr_excsave2,...ICLA
8fae0 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 33 2c 0a 20 20 49 43 4c 41 53 53 5f SS_xt_iclass_rsr_epc3,...ICLASS_
8fb00 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f xt_iclass_wsr_epc3,...ICLASS_xt_
8fb20 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c iclass_xsr_epc3,...ICLASS_xt_icl
8fb40 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ass_rsr_excsave3,...ICLASS_xt_ic
8fb60 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 lass_wsr_excsave3,...ICLASS_xt_i
8fb80 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f class_xsr_excsave3,...ICLASS_xt_
8fba0 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c iclass_rsr_epc4,...ICLASS_xt_icl
8fbc0 61 73 73 5f 77 73 72 5f 65 70 63 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ass_wsr_epc4,...ICLASS_xt_iclass
8fbe0 5f 78 73 72 5f 65 70 63 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 _xsr_epc4,...ICLASS_xt_iclass_rs
8fc00 72 5f 65 78 63 73 61 76 65 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 r_excsave4,...ICLASS_xt_iclass_w
8fc20 73 72 5f 65 78 63 73 61 76 65 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f sr_excsave4,...ICLASS_xt_iclass_
8fc40 78 73 72 5f 65 78 63 73 61 76 65 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 xsr_excsave4,...ICLASS_xt_iclass
8fc60 5f 72 73 72 5f 65 70 63 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 _rsr_epc5,...ICLASS_xt_iclass_ws
8fc80 72 5f 65 70 63 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 r_epc5,...ICLASS_xt_iclass_xsr_e
8fca0 70 63 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 pc5,...ICLASS_xt_iclass_rsr_excs
8fcc0 61 76 65 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 ave5,...ICLASS_xt_iclass_wsr_exc
8fce0 73 61 76 65 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 save5,...ICLASS_xt_iclass_xsr_ex
8fd00 63 73 61 76 65 35 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 csave5,...ICLASS_xt_iclass_rsr_e
8fd20 70 63 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 36 pc6,...ICLASS_xt_iclass_wsr_epc6
8fd40 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 2c 0a 20 ,...ICLASS_xt_iclass_xsr_epc6,..
8fd60 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 2c 0a .ICLASS_xt_iclass_rsr_excsave6,.
8fd80 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 36 2c ..ICLASS_xt_iclass_wsr_excsave6,
8fda0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 36 ...ICLASS_xt_iclass_xsr_excsave6
8fdc0 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 63 37 2c 0a 20 ,...ICLASS_xt_iclass_rsr_epc7,..
8fde0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 63 37 2c 0a 20 20 49 43 .ICLASS_xt_iclass_wsr_epc7,...IC
8fe00 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 2c 0a 20 20 49 43 4c 41 53 LASS_xt_iclass_xsr_epc7,...ICLAS
8fe20 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 37 2c 0a 20 20 49 43 4c 41 S_xt_iclass_rsr_excsave7,...ICLA
8fe40 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 73 61 76 65 37 2c 0a 20 20 49 43 4c SS_xt_iclass_wsr_excsave7,...ICL
8fe60 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 73 61 76 65 37 2c 0a 20 20 49 43 ASS_xt_iclass_xsr_excsave7,...IC
8fe80 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 32 2c 0a 20 20 49 43 4c 41 53 LASS_xt_iclass_rsr_eps2,...ICLAS
8fea0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 S_xt_iclass_wsr_eps2,...ICLASS_x
8fec0 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 t_iclass_xsr_eps2,...ICLASS_xt_i
8fee0 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 class_rsr_eps3,...ICLASS_xt_icla
8ff00 73 73 5f 77 73 72 5f 65 70 73 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ss_wsr_eps3,...ICLASS_xt_iclass_
8ff20 78 73 72 5f 65 70 73 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 xsr_eps3,...ICLASS_xt_iclass_rsr
8ff40 5f 65 70 73 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 _eps4,...ICLASS_xt_iclass_wsr_ep
8ff60 73 34 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 2c s4,...ICLASS_xt_iclass_xsr_eps4,
8ff80 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 2c 0a 20 20 ...ICLASS_xt_iclass_rsr_eps5,...
8ffa0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 35 2c 0a 20 20 49 43 4c ICLASS_xt_iclass_wsr_eps5,...ICL
8ffc0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 35 2c 0a 20 20 49 43 4c 41 53 53 ASS_xt_iclass_xsr_eps5,...ICLASS
8ffe0 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 _xt_iclass_rsr_eps6,...ICLASS_xt
90000 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 _iclass_wsr_eps6,...ICLASS_xt_ic
90020 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 lass_xsr_eps6,...ICLASS_xt_iclas
90040 73 5f 72 73 72 5f 65 70 73 37 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 s_rsr_eps7,...ICLASS_xt_iclass_w
90060 73 72 5f 65 70 73 37 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f sr_eps7,...ICLASS_xt_iclass_xsr_
90080 65 70 73 37 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 eps7,...ICLASS_xt_iclass_rsr_exc
900a0 76 61 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 vaddr,...ICLASS_xt_iclass_wsr_ex
900c0 63 76 61 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 cvaddr,...ICLASS_xt_iclass_xsr_e
900e0 78 63 76 61 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f xcvaddr,...ICLASS_xt_iclass_rsr_
90100 64 65 70 63 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 70 depc,...ICLASS_xt_iclass_wsr_dep
90120 63 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 70 63 2c 0a c,...ICLASS_xt_iclass_xsr_depc,.
90140 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 63 61 75 73 65 2c ..ICLASS_xt_iclass_rsr_exccause,
90160 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 63 63 61 75 73 65 ...ICLASS_xt_iclass_wsr_exccause
90180 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 63 61 75 73 ,...ICLASS_xt_iclass_xsr_exccaus
901a0 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 2c e,...ICLASS_xt_iclass_rsr_misc0,
901c0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 2c 0a 20 ...ICLASS_xt_iclass_wsr_misc0,..
901e0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 63 30 2c 0a 20 20 49 .ICLASS_xt_iclass_xsr_misc0,...I
90200 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 31 2c 0a 20 20 49 43 4c CLASS_xt_iclass_rsr_misc1,...ICL
90220 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 69 73 63 31 2c 0a 20 20 49 43 4c 41 53 ASS_xt_iclass_wsr_misc1,...ICLAS
90240 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 73 63 31 2c 0a 20 20 49 43 4c 41 53 53 5f S_xt_iclass_xsr_misc1,...ICLASS_
90260 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 72 69 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f xt_iclass_rsr_prid,...ICLASS_xt_
90280 69 63 6c 61 73 73 5f 72 73 72 5f 76 65 63 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f iclass_rsr_vecbase,...ICLASS_xt_
902a0 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f iclass_wsr_vecbase,...ICLASS_xt_
902c0 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 62 61 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f iclass_xsr_vecbase,...ICLASS_xt_
902e0 6d 75 6c 31 36 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 6d 75 6c 33 32 2c 0a 20 20 49 43 4c 41 mul16,...ICLASS_xt_mul32,...ICLA
90300 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 49 43 4c 41 53 53 5f SS_xt_iclass_mac16_aa,...ICLASS_
90320 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f xt_iclass_mac16_ad,...ICLASS_xt_
90340 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c iclass_mac16_da,...ICLASS_xt_icl
90360 61 73 73 5f 6d 61 63 31 36 5f 64 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ass_mac16_dd,...ICLASS_xt_iclass
90380 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d _mac16a_aa,...ICLASS_xt_iclass_m
903a0 61 63 31 36 61 5f 61 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 ac16a_ad,...ICLASS_xt_iclass_mac
903c0 31 36 61 5f 64 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 16a_da,...ICLASS_xt_iclass_mac16
903e0 61 5f 64 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c a_dd,...ICLASS_xt_iclass_mac16al
90400 5f 64 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f _da,...ICLASS_xt_iclass_mac16al_
90420 64 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 6c 2c 0a dd,...ICLASS_xt_iclass_mac16_l,.
90440 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 30 2c 0a 20 20 49 43 4c ..ICLASS_xt_iclass_rsr_m0,...ICL
90460 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 ASS_xt_iclass_wsr_m0,...ICLASS_x
90480 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c t_iclass_xsr_m0,...ICLASS_xt_icl
904a0 61 73 73 5f 72 73 72 5f 6d 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 ass_rsr_m1,...ICLASS_xt_iclass_w
904c0 73 72 5f 6d 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 31 sr_m1,...ICLASS_xt_iclass_xsr_m1
904e0 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 32 2c 0a 20 20 49 ,...ICLASS_xt_iclass_rsr_m2,...I
90500 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 32 2c 0a 20 20 49 43 4c 41 53 53 CLASS_xt_iclass_wsr_m2,...ICLASS
90520 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 _xt_iclass_xsr_m2,...ICLASS_xt_i
90540 63 6c 61 73 73 5f 72 73 72 5f 6d 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 class_rsr_m3,...ICLASS_xt_iclass
90560 5f 77 73 72 5f 6d 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f _wsr_m3,...ICLASS_xt_iclass_xsr_
90580 6d 33 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 6c 6f m3,...ICLASS_xt_iclass_rsr_acclo
905a0 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 2c 0a ,...ICLASS_xt_iclass_wsr_acclo,.
905c0 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 6c 6f 2c 0a 20 20 ..ICLASS_xt_iclass_xsr_acclo,...
905e0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 68 69 2c 0a 20 20 49 43 ICLASS_xt_iclass_rsr_acchi,...IC
90600 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 63 63 68 69 2c 0a 20 20 49 43 4c 41 LASS_xt_iclass_wsr_acchi,...ICLA
90620 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 68 69 2c 0a 20 20 49 43 4c 41 53 53 SS_xt_iclass_xsr_acchi,...ICLASS
90640 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 _xt_iclass_rfi,...ICLASS_xt_icla
90660 73 73 5f 77 61 69 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f ss_wait,...ICLASS_xt_iclass_rsr_
90680 69 6e 74 65 72 72 75 70 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 interrupt,...ICLASS_xt_iclass_ws
906a0 72 5f 69 6e 74 73 65 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 r_intset,...ICLASS_xt_iclass_wsr
906c0 5f 69 6e 74 63 6c 65 61 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 _intclear,...ICLASS_xt_iclass_rs
906e0 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f r_intenable,...ICLASS_xt_iclass_
90700 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 wsr_intenable,...ICLASS_xt_iclas
90720 73 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c s_xsr_intenable,...ICLASS_xt_icl
90740 61 73 73 5f 62 72 65 61 6b 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 ass_break,...ICLASS_xt_iclass_br
90760 65 61 6b 5f 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 eak_n,...ICLASS_xt_iclass_rsr_db
90780 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 reaka0,...ICLASS_xt_iclass_wsr_d
907a0 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f breaka0,...ICLASS_xt_iclass_xsr_
907c0 64 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 dbreaka0,...ICLASS_xt_iclass_rsr
907e0 5f 64 62 72 65 61 6b 63 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 _dbreakc0,...ICLASS_xt_iclass_ws
90800 72 5f 64 62 72 65 61 6b 63 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 r_dbreakc0,...ICLASS_xt_iclass_x
90820 73 72 5f 64 62 72 65 61 6b 63 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f sr_dbreakc0,...ICLASS_xt_iclass_
90840 72 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 rsr_dbreaka1,...ICLASS_xt_iclass
90860 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 _wsr_dbreaka1,...ICLASS_xt_iclas
90880 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 s_xsr_dbreaka1,...ICLASS_xt_icla
908a0 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ss_rsr_dbreakc1,...ICLASS_xt_icl
908c0 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ass_wsr_dbreakc1,...ICLASS_xt_ic
908e0 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 63 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 lass_xsr_dbreakc1,...ICLASS_xt_i
90900 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f class_rsr_ibreaka0,...ICLASS_xt_
90920 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 iclass_wsr_ibreaka0,...ICLASS_xt
90940 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 49 43 4c 41 53 53 5f 78 _iclass_xsr_ibreaka0,...ICLASS_x
90960 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 5f t_iclass_rsr_ibreaka1,...ICLASS_
90980 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 53 xt_iclass_wsr_ibreaka1,...ICLASS
909a0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 2c 0a 20 20 49 43 4c 41 53 _xt_iclass_xsr_ibreaka1,...ICLAS
909c0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 2c 0a 20 20 S_xt_iclass_rsr_ibreakenable,...
909e0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c ICLASS_xt_iclass_wsr_ibreakenabl
90a00 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b e,...ICLASS_xt_iclass_xsr_ibreak
90a20 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 enable,...ICLASS_xt_iclass_rsr_d
90a40 65 62 75 67 63 61 75 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 ebugcause,...ICLASS_xt_iclass_ws
90a60 72 5f 64 65 62 75 67 63 61 75 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 r_debugcause,...ICLASS_xt_iclass
90a80 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c _xsr_debugcause,...ICLASS_xt_icl
90aa0 61 73 73 5f 72 73 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ass_rsr_icount,...ICLASS_xt_icla
90ac0 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ss_wsr_icount,...ICLASS_xt_iclas
90ae0 73 5f 78 73 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 s_xsr_icount,...ICLASS_xt_iclass
90b00 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 _rsr_icountlevel,...ICLASS_xt_ic
90b20 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 lass_wsr_icountlevel,...ICLASS_x
90b40 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 49 43 4c 41 t_iclass_xsr_icountlevel,...ICLA
90b60 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 SS_xt_iclass_rsr_ddr,...ICLASS_x
90b80 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 t_iclass_wsr_ddr,...ICLASS_xt_ic
90ba0 6c 61 73 73 5f 78 73 72 5f 64 64 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 lass_xsr_ddr,...ICLASS_xt_iclass
90bc0 5f 72 66 64 6f 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 2c 0a _rfdo,...ICLASS_xt_iclass_rfdd,.
90be0 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 6d 69 64 2c 0a 20 20 49 ..ICLASS_xt_iclass_wsr_mmid,...I
90c00 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 49 43 CLASS_xt_iclass_rsr_ccount,...IC
90c20 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 49 43 4c LASS_xt_iclass_wsr_ccount,...ICL
90c40 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 49 43 4c 41 ASS_xt_iclass_xsr_ccount,...ICLA
90c60 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a 20 20 49 43 SS_xt_iclass_rsr_ccompare0,...IC
90c80 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a 20 20 LASS_xt_iclass_wsr_ccompare0,...
90ca0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a ICLASS_xt_iclass_xsr_ccompare0,.
90cc0 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 ..ICLASS_xt_iclass_rsr_ccompare1
90ce0 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 ,...ICLASS_xt_iclass_wsr_ccompar
90d00 65 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 6d 70 e1,...ICLASS_xt_iclass_xsr_ccomp
90d20 61 72 65 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f are1,...ICLASS_xt_iclass_rsr_cco
90d40 6d 70 61 72 65 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 mpare2,...ICLASS_xt_iclass_wsr_c
90d60 63 6f 6d 70 61 72 65 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 compare2,...ICLASS_xt_iclass_xsr
90d80 5f 63 63 6f 6d 70 61 72 65 32 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 _ccompare2,...ICLASS_xt_iclass_i
90da0 63 61 63 68 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 cache,...ICLASS_xt_iclass_icache
90dc0 5f 6c 6f 63 6b 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 _lock,...ICLASS_xt_iclass_icache
90de0 5f 69 6e 76 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 63 78 2c 0a 20 _inv,...ICLASS_xt_iclass_licx,..
90e00 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 2c 0a 20 20 49 43 4c 41 53 53 .ICLASS_xt_iclass_sicx,...ICLASS
90e20 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 _xt_iclass_dcache,...ICLASS_xt_i
90e40 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 64 79 6e 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 class_dcache_dyn,...ICLASS_xt_ic
90e60 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c lass_dcache_ind,...ICLASS_xt_icl
90e80 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 76 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ass_dcache_inv,...ICLASS_xt_icla
90ea0 73 73 5f 64 70 66 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 ss_dpf,...ICLASS_xt_iclass_dcach
90ec0 65 5f 6c 6f 63 6b 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 64 63 74 2c e_lock,...ICLASS_xt_iclass_sdct,
90ee0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 64 63 74 2c 0a 20 20 49 43 4c 41 ...ICLASS_xt_iclass_ldct,...ICLA
90f00 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 49 43 4c SS_xt_iclass_wsr_ptevaddr,...ICL
90f20 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 49 43 ASS_xt_iclass_rsr_ptevaddr,...IC
90f40 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 49 LASS_xt_iclass_xsr_ptevaddr,...I
90f60 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 2c 0a 20 20 49 43 4c CLASS_xt_iclass_rsr_rasid,...ICL
90f80 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 2c 0a 20 20 49 43 4c 41 53 ASS_xt_iclass_wsr_rasid,...ICLAS
90fa0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 72 61 73 69 64 2c 0a 20 20 49 43 4c 41 53 53 5f S_xt_iclass_xsr_rasid,...ICLASS_
90fc0 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_rsr_itlbcfg,...ICLASS_
90fe0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_wsr_itlbcfg,...ICLASS_
91000 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_xsr_itlbcfg,...ICLASS_
91020 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_rsr_dtlbcfg,...ICLASS_
91040 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_wsr_dtlbcfg,...ICLASS_
91060 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 49 43 4c 41 53 53 5f xt_iclass_xsr_dtlbcfg,...ICLASS_
91080 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c xt_iclass_idtlb,...ICLASS_xt_icl
910a0 61 73 73 5f 72 64 74 6c 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 64 ass_rdtlb,...ICLASS_xt_iclass_wd
910c0 74 6c 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 2c 0a 20 tlb,...ICLASS_xt_iclass_iitlb,..
910e0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 2c 0a 20 20 49 43 4c 41 53 .ICLASS_xt_iclass_ritlb,...ICLAS
91100 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 69 74 6c 62 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 S_xt_iclass_witlb,...ICLASS_xt_i
91120 63 6c 61 73 73 5f 6c 64 70 74 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f class_ldpte,...ICLASS_xt_iclass_
91140 68 77 77 69 74 6c 62 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 68 77 77 hwwitlba,...ICLASS_xt_iclass_hww
91160 64 74 6c 62 61 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 70 dtlba,...ICLASS_xt_iclass_rsr_cp
91180 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 enable,...ICLASS_xt_iclass_wsr_c
911a0 70 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f penable,...ICLASS_xt_iclass_xsr_
911c0 63 70 65 6e 61 62 6c 65 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 6c 61 cpenable,...ICLASS_xt_iclass_cla
911e0 6d 70 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d 61 78 2c 0a 20 mp,...ICLASS_xt_iclass_minmax,..
91200 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 73 61 2c 0a 20 20 49 43 4c 41 53 53 5f .ICLASS_xt_iclass_nsa,...ICLASS_
91220 78 74 5f 69 63 6c 61 73 73 5f 73 78 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 xt_iclass_sx,...ICLASS_xt_iclass
91240 5f 6c 33 32 61 69 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 72 69 _l32ai,...ICLASS_xt_iclass_s32ri
91260 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 63 31 69 2c 0a 20 20 49 ,...ICLASS_xt_iclass_s32c1i,...I
91280 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 2c 0a 20 CLASS_xt_iclass_rsr_scompare1,..
912a0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 2c .ICLASS_xt_iclass_wsr_scompare1,
912c0 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 ...ICLASS_xt_iclass_xsr_scompare
912e0 31 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 6f 6d 63 74 1,...ICLASS_xt_iclass_rsr_atomct
91300 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 61 74 6f 6d 63 74 l,...ICLASS_xt_iclass_wsr_atomct
91320 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 74 6f 6d 63 74 l,...ICLASS_xt_iclass_xsr_atomct
91340 6c 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 69 76 2c 0a 20 20 49 43 4c l,...ICLASS_xt_iclass_div,...ICL
91360 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 2c 0a 20 20 49 43 4c 41 53 53 5f 78 74 5f 69 ASS_xt_iclass_rer,...ICLASS_xt_i
91380 63 6c 61 73 73 5f 77 65 72 2c 0a 20 20 49 43 4c 41 53 53 5f 72 75 72 5f 65 78 70 73 74 61 74 65 class_wer,...ICLASS_rur_expstate
913a0 2c 0a 20 20 49 43 4c 41 53 53 5f 77 75 72 5f 65 78 70 73 74 61 74 65 2c 0a 20 20 49 43 4c 41 53 ,...ICLASS_wur_expstate,...ICLAS
913c0 53 5f 69 63 6c 61 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 2c 0a 20 20 49 43 4c 41 53 53 5f S_iclass_READ_IMPWIRE,...ICLASS_
913e0 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 49 43 4c 41 53 53 5f 69 iclass_SETB_EXPSTATE,...ICLASS_i
91400 63 6c 61 73 73 5f 43 4c 52 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 49 43 4c 41 53 53 5f 69 63 class_CLRB_EXPSTATE,...ICLASS_ic
91420 6c 61 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 20 4f 70 lass_WRMSK_EXPSTATE.};..../*..Op
91440 63 6f 64 65 20 65 6e 63 6f 64 69 6e 67 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 code.encodings...*/..static.void
91460 0a 4f 70 63 6f 64 65 5f 65 78 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 .Opcode_excw_Slot_inst_encode.(x
91480 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
914a0 75 66 5b 30 5d 20 3d 20 30 78 32 30 38 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f uf[0].=.0x2080;.}..static.void.O
914c0 70 63 6f 64 65 5f 72 66 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e pcode_rfe_Slot_inst_encode.(xten
914e0 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
91500 30 5d 20 3d 20 30 78 33 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 0].=.0x3000;.}..static.void.Opco
91520 64 65 5f 72 66 64 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 de_rfde_Slot_inst_encode.(xtensa
91540 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
91560 20 3d 20 30 78 33 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .=.0x3200;.}..static.void.Opcode
91580 5f 73 79 73 63 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 _syscall_Slot_inst_encode.(xtens
915a0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
915c0 5d 20 3d 20 30 78 35 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 ].=.0x5000;.}..static.void.Opcod
915e0 65 5f 63 61 6c 6c 31 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 e_call12_Slot_inst_encode.(xtens
91600 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
91620 5d 20 3d 20 30 78 33 35 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f ].=.0x35;.}..static.void.Opcode_
91640 63 61 6c 6c 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 call8_Slot_inst_encode.(xtensa_i
91660 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
91680 20 30 78 32 35 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c .0x25;.}..static.void.Opcode_cal
916a0 6c 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e l4_Slot_inst_encode.(xtensa_insn
916c0 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
916e0 31 35 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 31 15;.}..static.void.Opcode_callx1
91700 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 2_Slot_inst_encode.(xtensa_insnb
91720 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 uf.slotbuf).{...slotbuf[0].=.0xf
91740 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 38 5f 0;.}..static.void.Opcode_callx8_
91760 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
91780 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 30 3b .slotbuf).{...slotbuf[0].=.0xe0;
917a0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 34 5f 53 6c .}..static.void.Opcode_callx4_Sl
917c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
917e0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 64 30 3b 0a 7d lotbuf).{...slotbuf[0].=.0xd0;.}
91800 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 65 6e 74 72 79 5f 53 6c 6f 74 5f ..static.void.Opcode_entry_Slot_
91820 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
91840 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 36 3b 0a 7d 0a 0a 73 buf).{...slotbuf[0].=.0x36;.}..s
91860 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 73 70 5f 53 6c 6f 74 5f 69 6e 73 tatic.void.Opcode_movsp_Slot_ins
91880 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
918a0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 30 30 30 3b 0a 7d 0a 0a 73 74 ).{...slotbuf[0].=.0x1000;.}..st
918c0 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 6f 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f atic.void.Opcode_rotw_Slot_inst_
918e0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
91900 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 38 30 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x408000;.}..st
91920 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f atic.void.Opcode_retw_Slot_inst_
91940 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
91960 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 {...slotbuf[0].=.0x90;.}..static
91980 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 74 77 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 .void.Opcode_retw_n_Slot_inst16b
919a0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
919c0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 31 64 3b 0a 7d 0a 0a 73 74 61 .{...slotbuf[0].=.0xf01d;.}..sta
919e0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 77 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 tic.void.Opcode_rfwo_Slot_inst_e
91a00 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
91a20 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ...slotbuf[0].=.0x3400;.}..stati
91a40 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 77 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 c.void.Opcode_rfwu_Slot_inst_enc
91a60 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
91a80 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .slotbuf[0].=.0x3500;.}..static.
91aa0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 33 32 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 void.Opcode_l32e_Slot_inst_encod
91ac0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
91ae0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 lotbuf[0].=.0x90000;.}..static.v
91b00 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 33 32 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 oid.Opcode_s32e_Slot_inst_encode
91b20 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
91b40 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 39 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 otbuf[0].=.0x490000;.}..static.v
91b60 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 53 6c 6f 74 5f 69 oid.Opcode_rsr_windowbase_Slot_i
91b80 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
91ba0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 34 38 30 30 3b 0a 7d 0a uf).{...slotbuf[0].=.0x34800;.}.
91bc0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 .static.void.Opcode_wsr_windowba
91be0 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e se_Slot_inst_encode.(xtensa_insn
91c00 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
91c20 31 33 34 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 134800;.}..static.void.Opcode_xs
91c40 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 r_windowbase_Slot_inst_encode.(x
91c60 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
91c80 75 66 5b 30 5d 20 3d 20 30 78 36 31 34 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x614800;.}..static.void
91ca0 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 53 6c 6f 74 5f 69 6e 73 .Opcode_rsr_windowstart_Slot_ins
91cc0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
91ce0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 34 39 30 30 3b 0a 7d 0a 0a 73 ).{...slotbuf[0].=.0x34900;.}..s
91d00 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 tatic.void.Opcode_wsr_windowstar
91d20 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 t_Slot_inst_encode.(xtensa_insnb
91d40 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
91d60 33 34 39 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 34900;.}..static.void.Opcode_xsr
91d80 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 _windowstart_Slot_inst_encode.(x
91da0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
91dc0 75 66 5b 30 5d 20 3d 20 30 78 36 31 34 39 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x614900;.}..static.void
91de0 0a 4f 70 63 6f 64 65 5f 61 64 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 .Opcode_add_n_Slot_inst16a_encod
91e00 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
91e20 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a lotbuf[0].=.0xa;.}..static.void.
91e40 4f 70 63 6f 64 65 5f 61 64 64 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 Opcode_addi_n_Slot_inst16a_encod
91e60 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
91e80 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 62 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a lotbuf[0].=.0xb;.}..static.void.
91ea0 4f 70 63 6f 64 65 5f 62 65 71 7a 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 Opcode_beqz_n_Slot_inst16b_encod
91ec0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
91ee0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 63 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 lotbuf[0].=.0x8c;.}..static.void
91f00 0a 4f 70 63 6f 64 65 5f 62 6e 65 7a 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f .Opcode_bnez_n_Slot_inst16b_enco
91f20 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
91f40 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 63 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 slotbuf[0].=.0xcc;.}..static.voi
91f60 64 0a 4f 70 63 6f 64 65 5f 69 6c 6c 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f d.Opcode_ill_n_Slot_inst16b_enco
91f80 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
91fa0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 36 64 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 slotbuf[0].=.0xf06d;.}..static.v
91fc0 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 oid.Opcode_l32i_n_Slot_inst16a_e
91fe0 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
92000 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 ...slotbuf[0].=.0x8;.}..static.v
92020 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e oid.Opcode_mov_n_Slot_inst16b_en
92040 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
92060 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 64 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f ..slotbuf[0].=.0xd;.}..static.vo
92080 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e id.Opcode_movi_n_Slot_inst16b_en
920a0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
920c0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f ..slotbuf[0].=.0xc;.}..static.vo
920e0 69 64 0a 4f 70 63 6f 64 65 5f 6e 6f 70 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 id.Opcode_nop_n_Slot_inst16b_enc
92100 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
92120 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 33 64 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .slotbuf[0].=.0xf03d;.}..static.
92140 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 74 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 void.Opcode_ret_n_Slot_inst16b_e
92160 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
92180 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 30 64 3b 0a 7d 0a 0a 73 74 61 74 69 ...slotbuf[0].=.0xf00d;.}..stati
921a0 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 33 32 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 c.void.Opcode_s32i_n_Slot_inst16
921c0 61 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 a_encode.(xtensa_insnbuf.slotbuf
921e0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 3b 0a 7d 0a 0a 73 74 61 74 69 ).{...slotbuf[0].=.0x9;.}..stati
92200 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 5f 53 6c 6f 74 c.void.Opcode_rur_threadptr_Slot
92220 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
92240 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 33 30 65 37 30 3b tbuf).{...slotbuf[0].=.0xe30e70;
92260 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 75 72 5f 74 68 72 65 61 .}..static.void.Opcode_wur_threa
92280 64 70 74 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e dptr_Slot_inst_encode.(xtensa_in
922a0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
922c0 30 78 66 33 65 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf3e700;.}..static.void.Opcode_
922e0 61 64 64 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e addi_Slot_inst_encode.(xtensa_in
92300 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
92320 30 78 63 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 0xc002;.}..static.void.Opcode_ad
92340 64 6d 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 dmi_Slot_inst_encode.(xtensa_ins
92360 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
92380 78 64 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 64 xd002;.}..static.void.Opcode_add
923a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
923c0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 f.slotbuf).{...slotbuf[0].=.0x80
923e0 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 75 62 5f 0000;.}..static.void.Opcode_sub_
92400 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
92420 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 30 30 .slotbuf).{...slotbuf[0].=.0xc00
92440 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 64 78 32 000;.}..static.void.Opcode_addx2
92460 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
92480 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 f.slotbuf).{...slotbuf[0].=.0x90
924a0 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 64 78 0000;.}..static.void.Opcode_addx
924c0 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 4_Slot_inst_encode.(xtensa_insnb
924e0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 uf.slotbuf).{...slotbuf[0].=.0xa
92500 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 64 64 00000;.}..static.void.Opcode_add
92520 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e x8_Slot_inst_encode.(xtensa_insn
92540 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
92560 62 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 75 b00000;.}..static.void.Opcode_su
92580 62 78 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 bx2_Slot_inst_encode.(xtensa_ins
925a0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
925c0 78 64 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 xd00000;.}..static.void.Opcode_s
925e0 75 62 78 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e ubx4_Slot_inst_encode.(xtensa_in
92600 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
92620 30 78 65 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xe00000;.}..static.void.Opcode_
92640 73 75 62 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 subx8_Slot_inst_encode.(xtensa_i
92660 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
92680 20 30 78 66 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0xf00000;.}..static.void.Opcode
926a0 5f 61 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e _and_Slot_inst_encode.(xtensa_in
926c0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
926e0 30 78 31 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x100000;.}..static.void.Opcode_
92700 6f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e or_Slot_inst_encode.(xtensa_insn
92720 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
92740 32 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 6f 200000;.}..static.void.Opcode_xo
92760 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 r_Slot_inst_encode.(xtensa_insnb
92780 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 uf.slotbuf).{...slotbuf[0].=.0x3
927a0 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 65 71 00000;.}..static.void.Opcode_beq
927c0 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 i_Slot_inst_encode.(xtensa_insnb
927e0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 uf.slotbuf).{...slotbuf[0].=.0x2
92800 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6e 65 69 5f 53 6c 6;.}..static.void.Opcode_bnei_Sl
92820 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
92840 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 36 3b 0a 7d lotbuf).{...slotbuf[0].=.0x66;.}
92860 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 67 65 69 5f 53 6c 6f 74 5f 69 ..static.void.Opcode_bgei_Slot_i
92880 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
928a0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 36 3b 0a 7d 0a 0a 73 74 uf).{...slotbuf[0].=.0xe6;.}..st
928c0 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6c 74 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f atic.void.Opcode_blti_Slot_inst_
928e0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
92900 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 {...slotbuf[0].=.0xa6;.}..static
92920 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 62 63 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .void.Opcode_bbci_Slot_inst_enco
92940 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
92960 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 slotbuf[0].=.0x6007;.}..static.v
92980 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 62 73 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 oid.Opcode_bbsi_Slot_inst_encode
929a0 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
929c0 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 otbuf[0].=.0xe007;.}..static.voi
929e0 64 0a 4f 70 63 6f 64 65 5f 62 67 65 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 d.Opcode_bgeui_Slot_inst_encode.
92a00 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
92a20 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f tbuf[0].=.0xf6;.}..static.void.O
92a40 70 63 6f 64 65 5f 62 6c 74 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 pcode_bltui_Slot_inst_encode.(xt
92a60 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
92a80 66 5b 30 5d 20 3d 20 30 78 62 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f f[0].=.0xb6;.}..static.void.Opco
92aa0 64 65 5f 62 65 71 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f de_beq_Slot_inst_encode.(xtensa_
92ac0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
92ae0 3d 20 30 78 31 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f =.0x1007;.}..static.void.Opcode_
92b00 62 6e 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 bne_Slot_inst_encode.(xtensa_ins
92b20 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
92b40 78 39 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 67 65 x9007;.}..static.void.Opcode_bge
92b60 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
92b80 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 f.slotbuf).{...slotbuf[0].=.0xa0
92ba0 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6c 74 5f 53 6c 07;.}..static.void.Opcode_blt_Sl
92bc0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
92be0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 30 37 3b lotbuf).{...slotbuf[0].=.0x2007;
92c00 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 67 65 75 5f 53 6c 6f 74 .}..static.void.Opcode_bgeu_Slot
92c20 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
92c40 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 62 30 30 37 3b 0a 7d tbuf).{...slotbuf[0].=.0xb007;.}
92c60 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6c 74 75 5f 53 6c 6f 74 5f 69 ..static.void.Opcode_bltu_Slot_i
92c80 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
92ca0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 30 37 3b 0a 7d 0a 0a uf).{...slotbuf[0].=.0x3007;.}..
92cc0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 61 6e 79 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_bany_Slot_ins
92ce0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
92d00 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 30 37 3b 0a 7d 0a 0a 73 74 ).{...slotbuf[0].=.0x8007;.}..st
92d20 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6e 6f 6e 65 5f 53 6c 6f 74 5f 69 6e 73 74 atic.void.Opcode_bnone_Slot_inst
92d40 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
92d60 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 .{...slotbuf[0].=.0x7;.}..static
92d80 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .void.Opcode_ball_Slot_inst_enco
92da0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
92dc0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 slotbuf[0].=.0x4007;.}..static.v
92de0 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6e 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 oid.Opcode_bnall_Slot_inst_encod
92e00 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
92e20 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f lotbuf[0].=.0xc007;.}..static.vo
92e40 69 64 0a 4f 70 63 6f 64 65 5f 62 62 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 id.Opcode_bbc_Slot_inst_encode.(
92e60 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
92e80 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a buf[0].=.0x5007;.}..static.void.
92ea0 4f 70 63 6f 64 65 5f 62 62 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 Opcode_bbs_Slot_inst_encode.(xte
92ec0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
92ee0 5b 30 5d 20 3d 20 30 78 64 30 30 37 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 [0].=.0xd007;.}..static.void.Opc
92f00 6f 64 65 5f 62 65 71 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ode_beqz_Slot_inst_encode.(xtens
92f20 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
92f40 5d 20 3d 20 30 78 31 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f ].=.0x16;.}..static.void.Opcode_
92f60 62 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e bnez_Slot_inst_encode.(xtensa_in
92f80 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
92fa0 30 78 35 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 67 65 7a 0x56;.}..static.void.Opcode_bgez
92fc0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
92fe0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 64 36 f.slotbuf).{...slotbuf[0].=.0xd6
93000 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 62 6c 74 7a 5f 53 6c 6f ;.}..static.void.Opcode_bltz_Slo
93020 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
93040 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 36 3b 0a 7d 0a otbuf).{...slotbuf[0].=.0x96;.}.
93060 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 30 5f 53 6c 6f 74 5f 69 .static.void.Opcode_call0_Slot_i
93080 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
930a0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 3b 0a 7d 0a 0a 73 74 61 uf).{...slotbuf[0].=.0x5;.}..sta
930c0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 30 5f 53 6c 6f 74 5f 69 6e 73 74 tic.void.Opcode_callx0_Slot_inst
930e0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
93100 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 30 3b 0a 7d 0a 0a 73 74 61 74 69 .{...slotbuf[0].=.0xc0;.}..stati
93120 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 65 78 74 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e c.void.Opcode_extui_Slot_inst_en
93140 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
93160 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ..slotbuf[0].=.0x40000;.}..stati
93180 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f c.void.Opcode_ill_Slot_inst_enco
931a0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
931c0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f slotbuf[0].=.0;.}..static.void.O
931e0 70 63 6f 64 65 5f 6a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 pcode_j_Slot_inst_encode.(xtensa
93200 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
93220 20 3d 20 30 78 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6a 78 .=.0x6;.}..static.void.Opcode_jx
93240 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
93260 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 f.slotbuf).{...slotbuf[0].=.0xa0
93280 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 31 36 75 69 5f 53 6c ;.}..static.void.Opcode_l16ui_Sl
932a0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
932c0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 30 30 32 3b lotbuf).{...slotbuf[0].=.0x1002;
932e0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 31 36 73 69 5f 53 6c 6f .}..static.void.Opcode_l16si_Slo
93300 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
93320 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 30 32 3b 0a otbuf).{...slotbuf[0].=.0x9002;.
93340 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 53 6c 6f 74 5f }..static.void.Opcode_l32i_Slot_
93360 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
93380 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 30 32 3b 0a 7d 0a buf).{...slotbuf[0].=.0x2002;.}.
933a0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 33 32 72 5f 53 6c 6f 74 5f 69 6e .static.void.Opcode_l32r_Slot_in
933c0 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
933e0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 3b 0a 7d 0a 0a 73 74 61 74 f).{...slotbuf[0].=.0x1;.}..stat
93400 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 38 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ic.void.Opcode_l8ui_Slot_inst_en
93420 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
93440 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f ..slotbuf[0].=.0x2;.}..static.vo
93460 69 64 0a 4f 70 63 6f 64 65 5f 6c 6f 6f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 id.Opcode_loop_Slot_inst_encode.
93480 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
934a0 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 37 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 tbuf[0].=.0x8076;.}..static.void
934c0 0a 4f 70 63 6f 64 65 5f 6c 6f 6f 70 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 .Opcode_loopnez_Slot_inst_encode
934e0 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
93500 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 37 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 otbuf[0].=.0x9076;.}..static.voi
93520 64 0a 4f 70 63 6f 64 65 5f 6c 6f 6f 70 67 74 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 d.Opcode_loopgtz_Slot_inst_encod
93540 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
93560 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 37 36 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f lotbuf[0].=.0xa076;.}..static.vo
93580 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 id.Opcode_movi_Slot_inst_encode.
935a0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
935c0 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 tbuf[0].=.0xa002;.}..static.void
935e0 0a 4f 70 63 6f 64 65 5f 6d 6f 76 65 71 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 .Opcode_moveqz_Slot_inst_encode.
93600 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
93620 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x830000;.}..static.vo
93640 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 id.Opcode_movnez_Slot_inst_encod
93660 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
93680 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x930000;.}..static.
936a0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 6c 74 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 void.Opcode_movltz_Slot_inst_enc
936c0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
936e0 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0xa30000;.}..stati
93700 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 6f 76 67 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 c.void.Opcode_movgez_Slot_inst_e
93720 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
93740 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 62 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0xb30000;.}..sta
93760 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6e 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e tic.void.Opcode_neg_Slot_inst_en
93780 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
937a0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x600000;.}..stat
937c0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 61 62 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ic.void.Opcode_abs_Slot_inst_enc
937e0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
93800 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 30 30 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x600100;.}..stati
93820 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6e 6f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f c.void.Opcode_nop_Slot_inst_enco
93840 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
93860 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 66 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 slotbuf[0].=.0x20f0;.}..static.v
93880 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 oid.Opcode_ret_Slot_inst_encode.
938a0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
938c0 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f tbuf[0].=.0x80;.}..static.void.O
938e0 70 63 6f 64 65 5f 73 69 6d 63 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 pcode_simcall_Slot_inst_encode.(
93900 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
93920 62 75 66 5b 30 5d 20 3d 20 30 78 35 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a buf[0].=.0x5100;.}..static.void.
93940 4f 70 63 6f 64 65 5f 73 31 36 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 Opcode_s16i_Slot_inst_encode.(xt
93960 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
93980 66 5b 30 5d 20 3d 20 30 78 35 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 f[0].=.0x5002;.}..static.void.Op
939a0 63 6f 64 65 5f 73 33 32 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e code_s32i_Slot_inst_encode.(xten
939c0 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
939e0 30 5d 20 3d 20 30 78 36 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 0].=.0x6002;.}..static.void.Opco
93a00 64 65 5f 73 33 32 6e 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 de_s32nb_Slot_inst_encode.(xtens
93a20 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
93a40 5d 20 3d 20 30 78 35 39 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x590000;.}..static.void.Opc
93a60 6f 64 65 5f 73 38 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 ode_s8i_Slot_inst_encode.(xtensa
93a80 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
93aa0 20 3d 20 30 78 34 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .=.0x4002;.}..static.void.Opcode
93ac0 5f 73 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e _ssr_Slot_inst_encode.(xtensa_in
93ae0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
93b00 30 78 34 30 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x400000;.}..static.void.Opcode_
93b20 73 73 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ssl_Slot_inst_encode.(xtensa_ins
93b40 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
93b60 78 34 30 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 x401000;.}..static.void.Opcode_s
93b80 73 61 38 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e sa8l_Slot_inst_encode.(xtensa_in
93ba0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
93bc0 30 78 34 30 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x402000;.}..static.void.Opcode_
93be0 73 73 61 38 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 ssa8b_Slot_inst_encode.(xtensa_i
93c00 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
93c20 20 30 78 34 30 33 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x403000;.}..static.void.Opcode
93c40 5f 73 73 61 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 _ssai_Slot_inst_encode.(xtensa_i
93c60 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
93c80 20 30 78 34 30 34 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x404000;.}..static.void.Opcode
93ca0 5f 73 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e _sll_Slot_inst_encode.(xtensa_in
93cc0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
93ce0 30 78 61 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xa10000;.}..static.void.Opcode_
93d00 73 72 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 src_Slot_inst_encode.(xtensa_ins
93d20 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
93d40 78 38 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 x810000;.}..static.void.Opcode_s
93d60 72 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e rl_Slot_inst_encode.(xtensa_insn
93d80 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
93da0 39 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 72 910000;.}..static.void.Opcode_sr
93dc0 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 a_Slot_inst_encode.(xtensa_insnb
93de0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 62 uf.slotbuf).{...slotbuf[0].=.0xb
93e00 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 6c 6c 10000;.}..static.void.Opcode_sll
93e20 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 i_Slot_inst_encode.(xtensa_insnb
93e40 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
93e60 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 72 61 69 0000;.}..static.void.Opcode_srai
93e80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
93ea0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 31 f.slotbuf).{...slotbuf[0].=.0x21
93ec0 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 72 6c 69 0000;.}..static.void.Opcode_srli
93ee0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
93f00 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 31 f.slotbuf).{...slotbuf[0].=.0x41
93f20 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 65 6d 77 0000;.}..static.void.Opcode_memw
93f40 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
93f60 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 f.slotbuf).{...slotbuf[0].=.0x20
93f80 63 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 65 78 74 77 5f 53 c0;.}..static.void.Opcode_extw_S
93fa0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
93fc0 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 64 30 slotbuf).{...slotbuf[0].=.0x20d0
93fe0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 73 79 6e 63 5f 53 6c ;.}..static.void.Opcode_isync_Sl
94000 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
94020 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 30 30 3b lotbuf).{...slotbuf[0].=.0x2000;
94040 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 79 6e 63 5f 53 6c 6f .}..static.void.Opcode_rsync_Slo
94060 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
94080 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 31 30 3b 0a otbuf).{...slotbuf[0].=.0x2010;.
940a0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 65 73 79 6e 63 5f 53 6c 6f 74 }..static.void.Opcode_esync_Slot
940c0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
940e0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 32 30 3b 0a 7d tbuf).{...slotbuf[0].=.0x2020;.}
94100 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 73 79 6e 63 5f 53 6c 6f 74 5f ..static.void.Opcode_dsync_Slot_
94120 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
94140 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 30 33 30 3b 0a 7d 0a buf).{...slotbuf[0].=.0x2030;.}.
94160 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 69 6c 5f 53 6c 6f 74 5f 69 6e .static.void.Opcode_rsil_Slot_in
94180 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
941a0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 30 30 30 3b 0a 7d 0a 0a 73 f).{...slotbuf[0].=.0x6000;.}..s
941c0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 65 6e 64 5f 53 6c 6f 74 5f tatic.void.Opcode_rsr_lend_Slot_
941e0 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
94200 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 31 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x30100;.}
94220 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 65 6e 64 5f 53 6c ..static.void.Opcode_wsr_lend_Sl
94240 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
94260 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 30 31 30 lotbuf).{...slotbuf[0].=.0x13010
94280 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 65 6e 0;.}..static.void.Opcode_xsr_len
942a0 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 d_Slot_inst_encode.(xtensa_insnb
942c0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 uf.slotbuf).{...slotbuf[0].=.0x6
942e0 31 30 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 10100;.}..static.void.Opcode_rsr
94300 5f 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 _lcount_Slot_inst_encode.(xtensa
94320 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
94340 20 3d 20 30 78 33 30 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 .=.0x30200;.}..static.void.Opcod
94360 65 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 e_wsr_lcount_Slot_inst_encode.(x
94380 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
943a0 75 66 5b 30 5d 20 3d 20 30 78 31 33 30 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x130200;.}..static.void
943c0 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_xsr_lcount_Slot_inst_enc
943e0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
94400 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 30 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x610200;.}..stati
94420 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 62 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 c.void.Opcode_rsr_lbeg_Slot_inst
94440 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
94460 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 .{...slotbuf[0].=.0x30000;.}..st
94480 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 62 65 67 5f 53 6c 6f 74 5f 69 atic.void.Opcode_wsr_lbeg_Slot_i
944a0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
944c0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 30 30 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x130000;.}
944e0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 62 65 67 5f 53 6c ..static.void.Opcode_xsr_lbeg_Sl
94500 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
94520 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 30 30 30 lotbuf).{...slotbuf[0].=.0x61000
94540 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 73 61 72 0;.}..static.void.Opcode_rsr_sar
94560 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
94580 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 f.slotbuf).{...slotbuf[0].=.0x30
945a0 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 73 300;.}..static.void.Opcode_wsr_s
945c0 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e ar_Slot_inst_encode.(xtensa_insn
945e0 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
94600 31 33 30 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 130300;.}..static.void.Opcode_xs
94620 72 5f 73 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 r_sar_Slot_inst_encode.(xtensa_i
94640 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
94660 20 30 78 36 31 30 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x610300;.}..static.void.Opcode
94680 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 _rsr_memctl_Slot_inst_encode.(xt
946a0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
946c0 66 5b 30 5d 20 3d 20 30 78 33 36 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f f[0].=.0x36100;.}..static.void.O
946e0 70 63 6f 64 65 5f 77 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_wsr_memctl_Slot_inst_encod
94700 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
94720 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 36 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x136100;.}..static.
94740 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 void.Opcode_xsr_memctl_Slot_inst
94760 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
94780 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 36 31 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x616100;.}..s
947a0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 69 74 62 61 73 65 5f 53 6c tatic.void.Opcode_rsr_litbase_Sl
947c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
947e0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 35 30 30 lotbuf).{...slotbuf[0].=.0x30500
94800 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 69 74 62 ;.}..static.void.Opcode_wsr_litb
94820 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ase_Slot_inst_encode.(xtensa_ins
94840 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
94860 78 31 33 30 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 x130500;.}..static.void.Opcode_x
94880 73 72 5f 6c 69 74 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 sr_litbase_Slot_inst_encode.(xte
948a0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
948c0 5b 30 5d 20 3d 20 30 78 36 31 30 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x610500;.}..static.void.O
948e0 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e pcode_rsr_configid0_Slot_inst_en
94900 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
94920 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ..slotbuf[0].=.0x3b000;.}..stati
94940 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 53 6c 6f 74 c.void.Opcode_wsr_configid0_Slot
94960 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
94980 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 30 30 30 3b tbuf).{...slotbuf[0].=.0x13b000;
949a0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 .}..static.void.Opcode_rsr_confi
949c0 67 69 64 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e gid1_Slot_inst_encode.(xtensa_in
949e0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
94a00 30 78 33 64 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 0x3d000;.}..static.void.Opcode_r
94a20 73 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 sr_ps_Slot_inst_encode.(xtensa_i
94a40 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
94a60 20 30 78 33 65 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f .0x3e600;.}..static.void.Opcode_
94a80 77 73 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f wsr_ps_Slot_inst_encode.(xtensa_
94aa0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
94ac0 3d 20 30 78 31 33 65 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x13e600;.}..static.void.Opcod
94ae0 65 5f 78 73 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 e_xsr_ps_Slot_inst_encode.(xtens
94b00 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
94b20 5d 20 3d 20 30 78 36 31 65 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x61e600;.}..static.void.Opc
94b40 6f 64 65 5f 72 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 ode_rsr_epc1_Slot_inst_encode.(x
94b60 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
94b80 75 66 5b 30 5d 20 3d 20 30 78 33 62 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a uf[0].=.0x3b100;.}..static.void.
94ba0 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 Opcode_wsr_epc1_Slot_inst_encode
94bc0 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
94be0 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 otbuf[0].=.0x13b100;.}..static.v
94c00 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e oid.Opcode_xsr_epc1_Slot_inst_en
94c20 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
94c40 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x61b100;.}..stat
94c60 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f 53 6c 6f 74 ic.void.Opcode_rsr_excsave1_Slot
94c80 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
94ca0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 64 31 30 30 3b 0a tbuf).{...slotbuf[0].=.0x3d100;.
94cc0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 }..static.void.Opcode_wsr_excsav
94ce0 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e e1_Slot_inst_encode.(xtensa_insn
94d00 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
94d20 31 33 64 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 13d100;.}..static.void.Opcode_xs
94d40 72 5f 65 78 63 73 61 76 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 r_excsave1_Slot_inst_encode.(xte
94d60 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
94d80 5b 30 5d 20 3d 20 30 78 36 31 64 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x61d100;.}..static.void.O
94da0 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 pcode_rsr_epc2_Slot_inst_encode.
94dc0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
94de0 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 tbuf[0].=.0x3b200;.}..static.voi
94e00 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f d.Opcode_wsr_epc2_Slot_inst_enco
94e20 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
94e40 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x13b200;.}..static
94e60 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f .void.Opcode_xsr_epc2_Slot_inst_
94e80 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
94ea0 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 32 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x61b200;.}..st
94ec0 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 32 5f 53 6c atic.void.Opcode_rsr_excsave2_Sl
94ee0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
94f00 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 64 32 30 30 lotbuf).{...slotbuf[0].=.0x3d200
94f20 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 ;.}..static.void.Opcode_wsr_excs
94f40 61 76 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e ave2_Slot_inst_encode.(xtensa_in
94f60 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
94f80 30 78 31 33 64 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x13d200;.}..static.void.Opcode_
94fa0 78 73 72 5f 65 78 63 73 61 76 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 xsr_excsave2_Slot_inst_encode.(x
94fc0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
94fe0 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x61d200;.}..static.void
95000 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_rsr_epc3_Slot_inst_encod
95020 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
95040 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 lotbuf[0].=.0x3b300;.}..static.v
95060 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e oid.Opcode_wsr_epc3_Slot_inst_en
95080 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
950a0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x13b300;.}..stat
950c0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 ic.void.Opcode_xsr_epc3_Slot_ins
950e0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
95100 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 33 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x61b300;.}..
95120 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f static.void.Opcode_rsr_excsave3_
95140 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
95160 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 64 33 .slotbuf).{...slotbuf[0].=.0x3d3
95180 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 00;.}..static.void.Opcode_wsr_ex
951a0 63 73 61 76 65 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f csave3_Slot_inst_encode.(xtensa_
951c0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
951e0 3d 20 30 78 31 33 64 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x13d300;.}..static.void.Opcod
95200 65 5f 78 73 72 5f 65 78 63 73 61 76 65 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 e_xsr_excsave3_Slot_inst_encode.
95220 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
95240 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x61d300;.}..static.vo
95260 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 id.Opcode_rsr_epc4_Slot_inst_enc
95280 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
952a0 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 .slotbuf[0].=.0x3b400;.}..static
952c0 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f .void.Opcode_wsr_epc4_Slot_inst_
952e0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
95300 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 34 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x13b400;.}..st
95320 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 atic.void.Opcode_xsr_epc4_Slot_i
95340 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
95360 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 34 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x61b400;.}
95380 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 ..static.void.Opcode_rsr_excsave
953a0 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 4_Slot_inst_encode.(xtensa_insnb
953c0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 uf.slotbuf).{...slotbuf[0].=.0x3
953e0 64 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f d400;.}..static.void.Opcode_wsr_
95400 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 excsave4_Slot_inst_encode.(xtens
95420 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
95440 5d 20 3d 20 30 78 31 33 64 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x13d400;.}..static.void.Opc
95460 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ode_xsr_excsave4_Slot_inst_encod
95480 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
954a0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x61d400;.}..static.
954c0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 void.Opcode_rsr_epc5_Slot_inst_e
954e0 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
95500 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 ...slotbuf[0].=.0x3b500;.}..stat
95520 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 35 5f 53 6c 6f 74 5f 69 6e 73 ic.void.Opcode_wsr_epc5_Slot_ins
95540 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
95560 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 35 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x13b500;.}..
95580 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 35 5f 53 6c 6f 74 static.void.Opcode_xsr_epc5_Slot
955a0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
955c0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 35 30 30 3b tbuf).{...slotbuf[0].=.0x61b500;
955e0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 .}..static.void.Opcode_rsr_excsa
95600 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ve5_Slot_inst_encode.(xtensa_ins
95620 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
95640 78 33 64 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 x3d500;.}..static.void.Opcode_ws
95660 72 5f 65 78 63 73 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 r_excsave5_Slot_inst_encode.(xte
95680 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
956a0 5b 30 5d 20 3d 20 30 78 31 33 64 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x13d500;.}..static.void.O
956c0 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_xsr_excsave5_Slot_inst_enc
956e0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
95700 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x61d500;.}..stati
95720 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 36 5f 53 6c 6f 74 5f 69 6e 73 74 c.void.Opcode_rsr_epc6_Slot_inst
95740 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
95760 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 36 30 30 3b 0a 7d 0a 0a 73 74 .{...slotbuf[0].=.0x3b600;.}..st
95780 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 36 5f 53 6c 6f 74 5f 69 atic.void.Opcode_wsr_epc6_Slot_i
957a0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
957c0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 36 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x13b600;.}
957e0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 36 5f 53 6c ..static.void.Opcode_xsr_epc6_Sl
95800 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
95820 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 36 30 lotbuf).{...slotbuf[0].=.0x61b60
95840 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 0;.}..static.void.Opcode_rsr_exc
95860 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 save6_Slot_inst_encode.(xtensa_i
95880 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
958a0 20 30 78 33 64 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f .0x3d600;.}..static.void.Opcode_
958c0 77 73 72 5f 65 78 63 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 wsr_excsave6_Slot_inst_encode.(x
958e0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
95900 75 66 5b 30 5d 20 3d 20 30 78 31 33 64 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x13d600;.}..static.void
95920 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .Opcode_xsr_excsave6_Slot_inst_e
95940 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
95960 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 36 30 30 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x61d600;.}..sta
95980 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 37 5f 53 6c 6f 74 5f 69 6e tic.void.Opcode_rsr_epc7_Slot_in
959a0 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
959c0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 37 30 30 3b 0a 7d 0a 0a f).{...slotbuf[0].=.0x3b700;.}..
959e0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 37 5f 53 6c 6f 74 static.void.Opcode_wsr_epc7_Slot
95a00 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
95a20 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 62 37 30 30 3b tbuf).{...slotbuf[0].=.0x13b700;
95a40 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 37 5f .}..static.void.Opcode_xsr_epc7_
95a60 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
95a80 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 62 .slotbuf).{...slotbuf[0].=.0x61b
95aa0 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 700;.}..static.void.Opcode_rsr_e
95ac0 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 xcsave7_Slot_inst_encode.(xtensa
95ae0 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
95b00 20 3d 20 30 78 33 64 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 .=.0x3d700;.}..static.void.Opcod
95b20 65 5f 77 73 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 e_wsr_excsave7_Slot_inst_encode.
95b40 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
95b60 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 64 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x13d700;.}..static.vo
95b80 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 id.Opcode_xsr_excsave7_Slot_inst
95ba0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
95bc0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 64 37 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x61d700;.}..s
95be0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 32 5f 53 6c 6f 74 5f tatic.void.Opcode_rsr_eps2_Slot_
95c00 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
95c20 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 32 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x3c200;.}
95c40 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 32 5f 53 6c ..static.void.Opcode_wsr_eps2_Sl
95c60 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
95c80 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 32 30 lotbuf).{...slotbuf[0].=.0x13c20
95ca0 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 0;.}..static.void.Opcode_xsr_eps
95cc0 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 2_Slot_inst_encode.(xtensa_insnb
95ce0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 uf.slotbuf).{...slotbuf[0].=.0x6
95d00 31 63 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 1c200;.}..static.void.Opcode_rsr
95d20 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 _eps3_Slot_inst_encode.(xtensa_i
95d40 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
95d60 20 30 78 33 63 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f .0x3c300;.}..static.void.Opcode_
95d80 77 73 72 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 wsr_eps3_Slot_inst_encode.(xtens
95da0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
95dc0 5d 20 3d 20 30 78 31 33 63 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x13c300;.}..static.void.Opc
95de0 6f 64 65 5f 78 73 72 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 ode_xsr_eps3_Slot_inst_encode.(x
95e00 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
95e20 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x61c300;.}..static.void
95e40 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_rsr_eps4_Slot_inst_encod
95e60 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
95e80 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 lotbuf[0].=.0x3c400;.}..static.v
95ea0 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e oid.Opcode_wsr_eps4_Slot_inst_en
95ec0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
95ee0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x13c400;.}..stat
95f00 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f 69 6e 73 ic.void.Opcode_xsr_eps4_Slot_ins
95f20 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
95f40 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 34 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x61c400;.}..
95f60 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 35 5f 53 6c 6f 74 static.void.Opcode_rsr_eps5_Slot
95f80 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
95fa0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 35 30 30 3b 0a tbuf).{...slotbuf[0].=.0x3c500;.
95fc0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 35 5f 53 }..static.void.Opcode_wsr_eps5_S
95fe0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
96000 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 35 slotbuf).{...slotbuf[0].=.0x13c5
96020 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 00;.}..static.void.Opcode_xsr_ep
96040 73 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e s5_Slot_inst_encode.(xtensa_insn
96060 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
96080 36 31 63 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 61c500;.}..static.void.Opcode_rs
960a0 72 5f 65 70 73 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f r_eps6_Slot_inst_encode.(xtensa_
960c0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
960e0 3d 20 30 78 33 63 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 =.0x3c600;.}..static.void.Opcode
96100 5f 77 73 72 5f 65 70 73 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e _wsr_eps6_Slot_inst_encode.(xten
96120 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
96140 30 5d 20 3d 20 30 78 31 33 63 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 0].=.0x13c600;.}..static.void.Op
96160 63 6f 64 65 5f 78 73 72 5f 65 70 73 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 code_xsr_eps6_Slot_inst_encode.(
96180 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
961a0 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x61c600;.}..static.voi
961c0 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f d.Opcode_rsr_eps7_Slot_inst_enco
961e0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
96200 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 slotbuf[0].=.0x3c700;.}..static.
96220 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 void.Opcode_wsr_eps7_Slot_inst_e
96240 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
96260 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 37 30 30 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x13c700;.}..sta
96280 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e tic.void.Opcode_xsr_eps7_Slot_in
962a0 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
962c0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 37 30 30 3b 0a 7d 0a f).{...slotbuf[0].=.0x61c700;.}.
962e0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 76 61 64 64 72 .static.void.Opcode_rsr_excvaddr
96300 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
96320 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 f.slotbuf).{...slotbuf[0].=.0x3e
96340 65 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 65 e00;.}..static.void.Opcode_wsr_e
96360 78 63 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 xcvaddr_Slot_inst_encode.(xtensa
96380 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
963a0 20 3d 20 30 78 31 33 65 65 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f .=.0x13ee00;.}..static.void.Opco
963c0 64 65 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 de_xsr_excvaddr_Slot_inst_encode
963e0 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
96400 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 65 65 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 otbuf[0].=.0x61ee00;.}..static.v
96420 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e oid.Opcode_rsr_depc_Slot_inst_en
96440 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
96460 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ..slotbuf[0].=.0x3c000;.}..stati
96480 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 c.void.Opcode_wsr_depc_Slot_inst
964a0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
964c0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 63 30 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x13c000;.}..s
964e0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f tatic.void.Opcode_xsr_depc_Slot_
96500 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
96520 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 63 30 30 30 3b 0a buf).{...slotbuf[0].=.0x61c000;.
96540 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 63 61 75 }..static.void.Opcode_rsr_exccau
96560 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e se_Slot_inst_encode.(xtensa_insn
96580 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
965a0 33 65 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 3e800;.}..static.void.Opcode_wsr
965c0 5f 65 78 63 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e _exccause_Slot_inst_encode.(xten
965e0 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
96600 30 5d 20 3d 20 30 78 31 33 65 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 0].=.0x13e800;.}..static.void.Op
96620 63 6f 64 65 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_xsr_exccause_Slot_inst_enco
96640 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
96660 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 65 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x61e800;.}..static
96680 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 .void.Opcode_rsr_misc0_Slot_inst
966a0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
966c0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 66 34 30 30 3b 0a 7d 0a 0a 73 74 .{...slotbuf[0].=.0x3f400;.}..st
966e0 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 69 73 63 30 5f 53 6c 6f 74 5f atic.void.Opcode_wsr_misc0_Slot_
96700 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
96720 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 66 34 30 30 3b 0a buf).{...slotbuf[0].=.0x13f400;.
96740 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 69 73 63 30 5f }..static.void.Opcode_xsr_misc0_
96760 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
96780 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 .slotbuf).{...slotbuf[0].=.0x61f
967a0 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 400;.}..static.void.Opcode_rsr_m
967c0 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e isc1_Slot_inst_encode.(xtensa_in
967e0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
96800 30 78 33 66 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 0x3f500;.}..static.void.Opcode_w
96820 73 72 5f 6d 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 sr_misc1_Slot_inst_encode.(xtens
96840 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
96860 5d 20 3d 20 30 78 31 33 66 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x13f500;.}..static.void.Opc
96880 6f 64 65 5f 78 73 72 5f 6d 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 ode_xsr_misc1_Slot_inst_encode.(
968a0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
968c0 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 35 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x61f500;.}..static.voi
968e0 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 70 72 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f d.Opcode_rsr_prid_Slot_inst_enco
96900 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
96920 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 62 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 slotbuf[0].=.0x3eb00;.}..static.
96940 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 void.Opcode_rsr_vecbase_Slot_ins
96960 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
96980 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 37 30 30 3b 0a 7d 0a 0a 73 ).{...slotbuf[0].=.0x3e700;.}..s
969a0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 76 65 63 62 61 73 65 5f 53 6c tatic.void.Opcode_wsr_vecbase_Sl
969c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
969e0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 37 30 lotbuf).{...slotbuf[0].=.0x13e70
96a00 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 76 65 63 0;.}..static.void.Opcode_xsr_vec
96a20 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e base_Slot_inst_encode.(xtensa_in
96a40 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
96a60 30 78 36 31 65 37 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x61e700;.}..static.void.Opcode_
96a80 6d 75 6c 31 36 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f mul16u_Slot_inst_encode.(xtensa_
96aa0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
96ac0 3d 20 30 78 63 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0xc10000;.}..static.void.Opcod
96ae0 65 5f 6d 75 6c 31 36 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 e_mul16s_Slot_inst_encode.(xtens
96b00 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
96b20 5d 20 3d 20 30 78 64 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0xd10000;.}..static.void.Opc
96b40 6f 64 65 5f 6d 75 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ode_mull_Slot_inst_encode.(xtens
96b60 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
96b80 5d 20 3d 20 30 78 38 32 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x820000;.}..static.void.Opc
96ba0 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 ode_mul_aa_ll_Slot_inst_encode.(
96bc0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
96be0 62 75 66 5b 30 5d 20 3d 20 30 78 37 34 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x740004;.}..static.voi
96c00 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 d.Opcode_mul_aa_hl_Slot_inst_enc
96c20 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
96c40 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 35 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x750004;.}..stati
96c60 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 c.void.Opcode_mul_aa_lh_Slot_ins
96c80 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
96ca0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 36 30 30 30 34 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x760004;.}..
96cc0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 68 5f 53 6c 6f static.void.Opcode_mul_aa_hh_Slo
96ce0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
96d00 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 37 30 30 30 34 otbuf).{...slotbuf[0].=.0x770004
96d20 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f ;.}..static.void.Opcode_umul_aa_
96d40 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e ll_Slot_inst_encode.(xtensa_insn
96d60 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
96d80 37 30 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 75 6d 700004;.}..static.void.Opcode_um
96da0 75 6c 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ul_aa_hl_Slot_inst_encode.(xtens
96dc0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
96de0 5d 20 3d 20 30 78 37 31 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x710004;.}..static.void.Opc
96e00 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 ode_umul_aa_lh_Slot_inst_encode.
96e20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
96e40 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 32 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x720004;.}..static.vo
96e60 69 64 0a 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 id.Opcode_umul_aa_hh_Slot_inst_e
96e80 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
96ea0 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 33 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x730004;.}..sta
96ec0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 tic.void.Opcode_mul_ad_ll_Slot_i
96ee0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
96f00 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 34 30 30 30 34 3b 0a 7d uf).{...slotbuf[0].=.0x340004;.}
96f20 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 6c 5f 53 ..static.void.Opcode_mul_ad_hl_S
96f40 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
96f60 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 30 30 slotbuf).{...slotbuf[0].=.0x3500
96f80 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 04;.}..static.void.Opcode_mul_ad
96fa0 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 _lh_Slot_inst_encode.(xtensa_ins
96fc0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
96fe0 78 33 36 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d x360004;.}..static.void.Opcode_m
97000 75 6c 5f 61 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ul_ad_hh_Slot_inst_encode.(xtens
97020 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
97040 5d 20 3d 20 30 78 33 37 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x370004;.}..static.void.Opc
97060 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 ode_mul_da_ll_Slot_inst_encode.(
97080 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
970a0 62 75 66 5b 30 5d 20 3d 20 30 78 36 34 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x640004;.}..static.voi
970c0 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 d.Opcode_mul_da_hl_Slot_inst_enc
970e0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
97100 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 35 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x650004;.}..stati
97120 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 c.void.Opcode_mul_da_lh_Slot_ins
97140 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
97160 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 36 30 30 30 34 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x660004;.}..
97180 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 68 5f 53 6c 6f static.void.Opcode_mul_da_hh_Slo
971a0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
971c0 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 37 30 30 30 34 otbuf).{...slotbuf[0].=.0x670004
971e0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 6c ;.}..static.void.Opcode_mul_dd_l
97200 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 l_Slot_inst_encode.(xtensa_insnb
97220 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 uf.slotbuf).{...slotbuf[0].=.0x2
97240 34 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 40004;.}..static.void.Opcode_mul
97260 5f 64 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f _dd_hl_Slot_inst_encode.(xtensa_
97280 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
972a0 3d 20 30 78 32 35 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x250004;.}..static.void.Opcod
972c0 65 5f 6d 75 6c 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 e_mul_dd_lh_Slot_inst_encode.(xt
972e0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
97300 66 5b 30 5d 20 3d 20 30 78 32 36 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x260004;.}..static.void.
97320 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_dd_hh_Slot_inst_encod
97340 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
97360 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 37 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x270004;.}..static.
97380 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 void.Opcode_mula_aa_ll_Slot_inst
973a0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
973c0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 38 30 30 30 34 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x780004;.}..s
973e0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 6c 5f 53 6c 6f tatic.void.Opcode_mula_aa_hl_Slo
97400 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
97420 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 39 30 30 30 34 otbuf).{...slotbuf[0].=.0x790004
97440 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f ;.}..static.void.Opcode_mula_aa_
97460 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e lh_Slot_inst_encode.(xtensa_insn
97480 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
974a0 37 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 7a0004;.}..static.void.Opcode_mu
974c0 6c 61 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 la_aa_hh_Slot_inst_encode.(xtens
974e0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
97500 5d 20 3d 20 30 78 37 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x7b0004;.}..static.void.Opc
97520 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 ode_muls_aa_ll_Slot_inst_encode.
97540 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
97560 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 63 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x7c0004;.}..static.vo
97580 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 id.Opcode_muls_aa_hl_Slot_inst_e
975a0 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
975c0 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 64 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x7d0004;.}..sta
975e0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f tic.void.Opcode_muls_aa_lh_Slot_
97600 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
97620 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 65 30 30 30 34 3b 0a buf).{...slotbuf[0].=.0x7e0004;.
97640 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 68 }..static.void.Opcode_muls_aa_hh
97660 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
97680 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 66 f.slotbuf).{...slotbuf[0].=.0x7f
976a0 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 0004;.}..static.void.Opcode_mula
976c0 5f 61 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f _ad_ll_Slot_inst_encode.(xtensa_
976e0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
97700 3d 20 30 78 33 38 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x380004;.}..static.void.Opcod
97720 65 5f 6d 75 6c 61 5f 61 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 e_mula_ad_hl_Slot_inst_encode.(x
97740 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
97760 75 66 5b 30 5d 20 3d 20 30 78 33 39 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x390004;.}..static.void
97780 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_mula_ad_lh_Slot_inst_enc
977a0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
977c0 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x3a0004;.}..stati
977e0 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e c.void.Opcode_mula_ad_hh_Slot_in
97800 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
97820 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 62 30 30 30 34 3b 0a 7d 0a f).{...slotbuf[0].=.0x3b0004;.}.
97840 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 6c 5f 53 .static.void.Opcode_muls_ad_ll_S
97860 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
97880 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 63 30 30 slotbuf).{...slotbuf[0].=.0x3c00
978a0 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 04;.}..static.void.Opcode_muls_a
978c0 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e d_hl_Slot_inst_encode.(xtensa_in
978e0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
97900 30 78 33 64 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x3d0004;.}..static.void.Opcode_
97920 6d 75 6c 73 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 muls_ad_lh_Slot_inst_encode.(xte
97940 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
97960 5b 30 5d 20 3d 20 30 78 33 65 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x3e0004;.}..static.void.O
97980 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_muls_ad_hh_Slot_inst_encod
979a0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
979c0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 66 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x3f0004;.}..static.
979e0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 void.Opcode_mula_da_ll_Slot_inst
97a00 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
97a20 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 38 30 30 30 34 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x680004;.}..s
97a40 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 53 6c 6f tatic.void.Opcode_mula_da_hl_Slo
97a60 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
97a80 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 39 30 30 30 34 otbuf).{...slotbuf[0].=.0x690004
97aa0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f ;.}..static.void.Opcode_mula_da_
97ac0 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e lh_Slot_inst_encode.(xtensa_insn
97ae0 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
97b00 36 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6a0004;.}..static.void.Opcode_mu
97b20 6c 61 5f 64 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 la_da_hh_Slot_inst_encode.(xtens
97b40 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
97b60 5d 20 3d 20 30 78 36 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x6b0004;.}..static.void.Opc
97b80 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 ode_muls_da_ll_Slot_inst_encode.
97ba0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
97bc0 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 63 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x6c0004;.}..static.vo
97be0 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 id.Opcode_muls_da_hl_Slot_inst_e
97c00 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
97c20 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 64 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x6d0004;.}..sta
97c40 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c 68 5f 53 6c 6f 74 5f tic.void.Opcode_muls_da_lh_Slot_
97c60 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
97c80 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 65 30 30 30 34 3b 0a buf).{...slotbuf[0].=.0x6e0004;.
97ca0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 68 }..static.void.Opcode_muls_da_hh
97cc0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
97ce0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 66 f.slotbuf).{...slotbuf[0].=.0x6f
97d00 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 0004;.}..static.void.Opcode_mula
97d20 5f 64 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f _dd_ll_Slot_inst_encode.(xtensa_
97d40 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
97d60 3d 20 30 78 32 38 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x280004;.}..static.void.Opcod
97d80 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 e_mula_dd_hl_Slot_inst_encode.(x
97da0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
97dc0 75 66 5b 30 5d 20 3d 20 30 78 32 39 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x290004;.}..static.void
97de0 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_mula_dd_lh_Slot_inst_enc
97e00 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
97e20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x2a0004;.}..stati
97e40 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e c.void.Opcode_mula_dd_hh_Slot_in
97e60 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
97e80 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 62 30 30 30 34 3b 0a 7d 0a f).{...slotbuf[0].=.0x2b0004;.}.
97ea0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 6c 5f 53 .static.void.Opcode_muls_dd_ll_S
97ec0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
97ee0 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 63 30 30 slotbuf).{...slotbuf[0].=.0x2c00
97f00 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 04;.}..static.void.Opcode_muls_d
97f20 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e d_hl_Slot_inst_encode.(xtensa_in
97f40 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
97f60 30 78 32 64 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x2d0004;.}..static.void.Opcode_
97f80 6d 75 6c 73 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 muls_dd_lh_Slot_inst_encode.(xte
97fa0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
97fc0 5b 30 5d 20 3d 20 30 78 32 65 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x2e0004;.}..static.void.O
97fe0 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_muls_dd_hh_Slot_inst_encod
98000 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
98020 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 66 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x2f0004;.}..static.
98040 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 6c 64 64 65 63 5f 53 6c 6f void.Opcode_mula_da_ll_lddec_Slo
98060 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
98080 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 38 30 30 30 34 otbuf).{...slotbuf[0].=.0x580004
980a0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f ;.}..static.void.Opcode_mula_da_
980c0 6c 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ll_ldinc_Slot_inst_encode.(xtens
980e0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
98100 5d 20 3d 20 30 78 34 38 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x480004;.}..static.void.Opc
98120 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ode_mula_da_hl_lddec_Slot_inst_e
98140 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
98160 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 39 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x590004;.}..sta
98180 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 69 6e 63 tic.void.Opcode_mula_da_hl_ldinc
981a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
981c0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 39 f.slotbuf).{...slotbuf[0].=.0x49
981e0 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 0004;.}..static.void.Opcode_mula
98200 5f 64 61 5f 6c 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 _da_lh_lddec_Slot_inst_encode.(x
98220 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
98240 75 66 5b 30 5d 20 3d 20 30 78 35 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x5a0004;.}..static.void
98260 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e .Opcode_mula_da_lh_ldinc_Slot_in
98280 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
982a0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 61 30 30 30 34 3b 0a 7d 0a f).{...slotbuf[0].=.0x4a0004;.}.
982c0 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c .static.void.Opcode_mula_da_hh_l
982e0 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e ddec_Slot_inst_encode.(xtensa_in
98300 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
98320 30 78 35 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x5b0004;.}..static.void.Opcode_
98340 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 mula_da_hh_ldinc_Slot_inst_encod
98360 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
98380 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x4b0004;.}..static.
983a0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 64 65 63 5f 53 6c 6f void.Opcode_mula_dd_ll_lddec_Slo
983c0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
983e0 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 38 30 30 30 34 otbuf).{...slotbuf[0].=.0x180004
98400 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f ;.}..static.void.Opcode_mula_dd_
98420 6c 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ll_ldinc_Slot_inst_encode.(xtens
98440 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
98460 5d 20 3d 20 30 78 38 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f ].=.0x80004;.}..static.void.Opco
98480 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e de_mula_dd_hl_lddec_Slot_inst_en
984a0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
984c0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 39 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x190004;.}..stat
984e0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 69 6e 63 5f ic.void.Opcode_mula_dd_hl_ldinc_
98500 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
98520 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 30 .slotbuf).{...slotbuf[0].=.0x900
98540 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 04;.}..static.void.Opcode_mula_d
98560 64 5f 6c 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 d_lh_lddec_Slot_inst_encode.(xte
98580 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
985a0 5b 30 5d 20 3d 20 30 78 31 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x1a0004;.}..static.void.O
985c0 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 pcode_mula_dd_lh_ldinc_Slot_inst
985e0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
98600 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 61 30 30 30 34 3b 0a 7d 0a 0a 73 74 .{...slotbuf[0].=.0xa0004;.}..st
98620 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 64 65 atic.void.Opcode_mula_dd_hh_ldde
98640 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 c_Slot_inst_encode.(xtensa_insnb
98660 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
98680 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6d 75 6c b0004;.}..static.void.Opcode_mul
986a0 61 5f 64 64 5f 68 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 a_dd_hh_ldinc_Slot_inst_encode.(
986c0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
986e0 62 75 66 5b 30 5d 20 3d 20 30 78 62 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 buf[0].=.0xb0004;.}..static.void
98700 0a 4f 70 63 6f 64 65 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 .Opcode_lddec_Slot_inst_encode.(
98720 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
98740 62 75 66 5b 30 5d 20 3d 20 30 78 39 30 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x900004;.}..static.voi
98760 64 0a 4f 70 63 6f 64 65 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 d.Opcode_ldinc_Slot_inst_encode.
98780 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
987a0 74 62 75 66 5b 30 5d 20 3d 20 30 78 38 30 30 30 30 34 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x800004;.}..static.vo
987c0 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 id.Opcode_rsr_m0_Slot_inst_encod
987e0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
98800 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 lotbuf[0].=.0x32000;.}..static.v
98820 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f oid.Opcode_wsr_m0_Slot_inst_enco
98840 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
98860 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x132000;.}..static
98880 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e .void.Opcode_xsr_m0_Slot_inst_en
988a0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
988c0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x612000;.}..stat
988e0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f ic.void.Opcode_rsr_m1_Slot_inst_
98900 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
98920 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 32 31 30 30 3b 0a 7d 0a 0a 73 74 61 {...slotbuf[0].=.0x32100;.}..sta
98940 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 tic.void.Opcode_wsr_m1_Slot_inst
98960 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
98980 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 32 31 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x132100;.}..s
989a0 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e tatic.void.Opcode_xsr_m1_Slot_in
989c0 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
989e0 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 32 31 30 30 3b 0a 7d 0a f).{...slotbuf[0].=.0x612100;.}.
98a00 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 32 5f 53 6c 6f 74 5f .static.void.Opcode_rsr_m2_Slot_
98a20 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
98a40 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 32 32 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x32200;.}
98a60 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 32 5f 53 6c 6f 74 ..static.void.Opcode_wsr_m2_Slot
98a80 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
98aa0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 32 32 30 30 3b tbuf).{...slotbuf[0].=.0x132200;
98ac0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 32 5f 53 6c .}..static.void.Opcode_xsr_m2_Sl
98ae0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
98b00 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 32 32 30 lotbuf).{...slotbuf[0].=.0x61220
98b20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 33 5f 0;.}..static.void.Opcode_rsr_m3_
98b40 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
98b60 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 32 33 .slotbuf).{...slotbuf[0].=.0x323
98b80 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 33 00;.}..static.void.Opcode_wsr_m3
98ba0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
98bc0 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 f.slotbuf).{...slotbuf[0].=.0x13
98be0 32 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 2300;.}..static.void.Opcode_xsr_
98c00 6d 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e m3_Slot_inst_encode.(xtensa_insn
98c20 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
98c40 36 31 32 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 612300;.}..static.void.Opcode_rs
98c60 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 r_acclo_Slot_inst_encode.(xtensa
98c80 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
98ca0 20 3d 20 30 78 33 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 .=.0x31000;.}..static.void.Opcod
98cc0 65 5f 77 73 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 e_wsr_acclo_Slot_inst_encode.(xt
98ce0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
98d00 66 5b 30 5d 20 3d 20 30 78 31 33 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x131000;.}..static.void.
98d20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_xsr_acclo_Slot_inst_encod
98d40 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
98d60 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x611000;.}..static.
98d80 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f void.Opcode_rsr_acchi_Slot_inst_
98da0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
98dc0 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 31 31 30 30 3b 0a 7d 0a 0a 73 74 61 {...slotbuf[0].=.0x31100;.}..sta
98de0 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 61 63 63 68 69 5f 53 6c 6f 74 5f 69 tic.void.Opcode_wsr_acchi_Slot_i
98e00 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
98e20 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 31 31 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x131100;.}
98e40 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 61 63 63 68 69 5f 53 ..static.void.Opcode_xsr_acchi_S
98e60 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
98e80 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 31 31 slotbuf).{...slotbuf[0].=.0x6111
98ea0 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 69 5f 53 6c 00;.}..static.void.Opcode_rfi_Sl
98ec0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
98ee0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 31 30 3b lotbuf).{...slotbuf[0].=.0x3010;
98f00 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 61 69 74 69 5f 53 6c 6f .}..static.void.Opcode_waiti_Slo
98f20 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
98f40 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 30 30 3b 0a otbuf).{...slotbuf[0].=.0x7000;.
98f60 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 72 72 }..static.void.Opcode_rsr_interr
98f80 75 70 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 upt_Slot_inst_encode.(xtensa_ins
98fa0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
98fc0 78 33 65 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 x3e200;.}..static.void.Opcode_ws
98fe0 72 5f 69 6e 74 73 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 r_intset_Slot_inst_encode.(xtens
99000 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
99020 5d 20 3d 20 30 78 31 33 65 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x13e200;.}..static.void.Opc
99040 6f 64 65 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ode_wsr_intclear_Slot_inst_encod
99060 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
99080 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x13e300;.}..static.
990a0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 void.Opcode_rsr_intenable_Slot_i
990c0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
990e0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 34 30 30 3b 0a 7d 0a uf).{...slotbuf[0].=.0x3e400;.}.
99100 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c .static.void.Opcode_wsr_intenabl
99120 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 e_Slot_inst_encode.(xtensa_insnb
99140 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
99160 33 65 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 3e400;.}..static.void.Opcode_xsr
99180 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 _intenable_Slot_inst_encode.(xte
991a0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
991c0 5b 30 5d 20 3d 20 30 78 36 31 65 34 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x61e400;.}..static.void.O
991e0 70 63 6f 64 65 5f 62 72 65 61 6b 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 pcode_break_Slot_inst_encode.(xt
99200 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
99220 66 5b 30 5d 20 3d 20 30 78 34 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 f[0].=.0x4000;.}..static.void.Op
99240 63 6f 64 65 5f 62 72 65 61 6b 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 code_break_n_Slot_inst16b_encode
99260 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
99280 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 30 32 64 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 otbuf[0].=.0xf02d;.}..static.voi
992a0 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f d.Opcode_rsr_dbreaka0_Slot_inst_
992c0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
992e0 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 39 30 30 30 3b 0a 7d 0a 0a 73 74 61 {...slotbuf[0].=.0x39000;.}..sta
99300 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 53 6c 6f tic.void.Opcode_wsr_dbreaka0_Slo
99320 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c t_inst_encode.(xtensa_insnbuf.sl
99340 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 39 30 30 30 otbuf).{...slotbuf[0].=.0x139000
99360 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 ;.}..static.void.Opcode_xsr_dbre
99380 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e aka0_Slot_inst_encode.(xtensa_in
993a0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
993c0 30 78 36 31 39 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x619000;.}..static.void.Opcode_
993e0 72 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 rsr_dbreakc0_Slot_inst_encode.(x
99400 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
99420 75 66 5b 30 5d 20 3d 20 30 78 33 61 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a uf[0].=.0x3a000;.}..static.void.
99440 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e Opcode_wsr_dbreakc0_Slot_inst_en
99460 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
99480 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 61 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x13a000;.}..stat
994a0 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 ic.void.Opcode_xsr_dbreakc0_Slot
994c0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
994e0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 61 30 30 30 3b tbuf).{...slotbuf[0].=.0x61a000;
99500 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 .}..static.void.Opcode_rsr_dbrea
99520 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ka1_Slot_inst_encode.(xtensa_ins
99540 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
99560 78 33 39 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 x39100;.}..static.void.Opcode_ws
99580 72 5f 64 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 r_dbreaka1_Slot_inst_encode.(xte
995a0 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
995c0 5b 30 5d 20 3d 20 30 78 31 33 39 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x139100;.}..static.void.O
995e0 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_xsr_dbreaka1_Slot_inst_enc
99600 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
99620 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 39 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 .slotbuf[0].=.0x619100;.}..stati
99640 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 53 6c 6f 74 5f c.void.Opcode_rsr_dbreakc1_Slot_
99660 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
99680 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 61 31 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x3a100;.}
996a0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 ..static.void.Opcode_wsr_dbreakc
996c0 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 1_Slot_inst_encode.(xtensa_insnb
996e0 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 uf.slotbuf).{...slotbuf[0].=.0x1
99700 33 61 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 3a100;.}..static.void.Opcode_xsr
99720 5f 64 62 72 65 61 6b 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e _dbreakc1_Slot_inst_encode.(xten
99740 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
99760 30 5d 20 3d 20 30 78 36 31 61 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 0].=.0x61a100;.}..static.void.Op
99780 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_rsr_ibreaka0_Slot_inst_enco
997a0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
997c0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 38 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 slotbuf[0].=.0x38000;.}..static.
997e0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e void.Opcode_wsr_ibreaka0_Slot_in
99800 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 st_encode.(xtensa_insnbuf.slotbu
99820 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 38 30 30 30 3b 0a 7d 0a f).{...slotbuf[0].=.0x138000;.}.
99840 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 .static.void.Opcode_xsr_ibreaka0
99860 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
99880 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 f.slotbuf).{...slotbuf[0].=.0x61
998a0 38 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 8000;.}..static.void.Opcode_rsr_
998c0 69 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ibreaka1_Slot_inst_encode.(xtens
998e0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
99900 5d 20 3d 20 30 78 33 38 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f ].=.0x38100;.}..static.void.Opco
99920 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 de_wsr_ibreaka1_Slot_inst_encode
99940 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
99960 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 38 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 otbuf[0].=.0x138100;.}..static.v
99980 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 oid.Opcode_xsr_ibreaka1_Slot_ins
999a0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
999c0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 38 31 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x618100;.}..
999e0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 static.void.Opcode_rsr_ibreakena
99a00 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 ble_Slot_inst_encode.(xtensa_ins
99a20 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
99a40 78 33 36 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 x36000;.}..static.void.Opcode_ws
99a60 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 r_ibreakenable_Slot_inst_encode.
99a80 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
99aa0 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 36 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x136000;.}..static.vo
99ac0 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f id.Opcode_xsr_ibreakenable_Slot_
99ae0 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
99b00 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 36 30 30 30 3b 0a buf).{...slotbuf[0].=.0x616000;.
99b20 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 64 65 62 75 67 63 }..static.void.Opcode_rsr_debugc
99b40 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e ause_Slot_inst_encode.(xtensa_in
99b60 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
99b80 30 78 33 65 39 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 0x3e900;.}..static.void.Opcode_w
99ba0 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 sr_debugcause_Slot_inst_encode.(
99bc0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
99be0 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 39 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x13e900;.}..static.voi
99c00 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 d.Opcode_xsr_debugcause_Slot_ins
99c20 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
99c40 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 65 39 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x61e900;.}..
99c60 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 5f 53 6c static.void.Opcode_rsr_icount_Sl
99c80 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
99ca0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 63 30 30 lotbuf).{...slotbuf[0].=.0x3ec00
99cc0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 63 6f 75 ;.}..static.void.Opcode_wsr_icou
99ce0 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e nt_Slot_inst_encode.(xtensa_insn
99d00 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
99d20 31 33 65 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 13ec00;.}..static.void.Opcode_xs
99d40 72 5f 69 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 r_icount_Slot_inst_encode.(xtens
99d60 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
99d80 5d 20 3d 20 30 78 36 31 65 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x61ec00;.}..static.void.Opc
99da0 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ode_rsr_icountlevel_Slot_inst_en
99dc0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
99de0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 64 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 ..slotbuf[0].=.0x3ed00;.}..stati
99e00 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c c.void.Opcode_wsr_icountlevel_Sl
99e20 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
99e40 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 64 30 lotbuf).{...slotbuf[0].=.0x13ed0
99e60 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 69 63 6f 0;.}..static.void.Opcode_xsr_ico
99e80 75 6e 74 6c 65 76 65 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 untlevel_Slot_inst_encode.(xtens
99ea0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
99ec0 5d 20 3d 20 30 78 36 31 65 64 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x61ed00;.}..static.void.Opc
99ee0 6f 64 65 5f 72 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 ode_rsr_ddr_Slot_inst_encode.(xt
99f00 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
99f20 66 5b 30 5d 20 3d 20 30 78 33 36 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f f[0].=.0x36800;.}..static.void.O
99f40 70 63 6f 64 65 5f 77 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 pcode_wsr_ddr_Slot_inst_encode.(
99f60 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
99f80 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 36 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x136800;.}..static.voi
99fa0 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 d.Opcode_xsr_ddr_Slot_inst_encod
99fc0 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
99fe0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 36 38 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x616800;.}..static.
9a000 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 64 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 void.Opcode_rfdo_Slot_inst_encod
9a020 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
9a040 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0xf1e000;.}..static.
9a060 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 66 64 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 void.Opcode_rfdd_Slot_inst_encod
9a080 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
9a0a0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 65 30 31 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0xf1e010;.}..static.
9a0c0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 6d 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 void.Opcode_wsr_mmid_Slot_inst_e
9a0e0 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
9a100 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 35 39 30 30 3b 0a 7d 0a 0a 73 74 61 ...slotbuf[0].=.0x135900;.}..sta
9a120 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 75 6e 74 5f 53 6c 6f 74 5f tic.void.Opcode_rsr_ccount_Slot_
9a140 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
9a160 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 61 30 30 3b 0a 7d buf).{...slotbuf[0].=.0x3ea00;.}
9a180 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 75 6e 74 5f ..static.void.Opcode_wsr_ccount_
9a1a0 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
9a1c0 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 65 .slotbuf).{...slotbuf[0].=.0x13e
9a1e0 61 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 63 a00;.}..static.void.Opcode_xsr_c
9a200 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 count_Slot_inst_encode.(xtensa_i
9a220 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
9a240 20 30 78 36 31 65 61 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x61ea00;.}..static.void.Opcode
9a260 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 _rsr_ccompare0_Slot_inst_encode.
9a280 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
9a2a0 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 66 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 tbuf[0].=.0x3f000;.}..static.voi
9a2c0 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c 6f 74 5f 69 6e 73 74 d.Opcode_wsr_ccompare0_Slot_inst
9a2e0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
9a300 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 66 30 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x13f000;.}..s
9a320 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f tatic.void.Opcode_xsr_ccompare0_
9a340 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
9a360 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 .slotbuf).{...slotbuf[0].=.0x61f
9a380 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 000;.}..static.void.Opcode_rsr_c
9a3a0 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 compare1_Slot_inst_encode.(xtens
9a3c0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
9a3e0 5d 20 3d 20 30 78 33 66 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f ].=.0x3f100;.}..static.void.Opco
9a400 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 de_wsr_ccompare1_Slot_inst_encod
9a420 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
9a440 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 66 31 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x13f100;.}..static.
9a460 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 void.Opcode_xsr_ccompare1_Slot_i
9a480 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
9a4a0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 31 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x61f100;.}
9a4c0 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 ..static.void.Opcode_rsr_ccompar
9a4e0 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e e2_Slot_inst_encode.(xtensa_insn
9a500 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
9a520 33 66 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 3f200;.}..static.void.Opcode_wsr
9a540 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 _ccompare2_Slot_inst_encode.(xte
9a560 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
9a580 5b 30 5d 20 3d 20 30 78 31 33 66 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x13f200;.}..static.void.O
9a5a0 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e pcode_xsr_ccompare2_Slot_inst_en
9a5c0 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a code.(xtensa_insnbuf.slotbuf).{.
9a5e0 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 66 32 30 30 3b 0a 7d 0a 0a 73 74 61 74 ..slotbuf[0].=.0x61f200;.}..stat
9a600 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 70 66 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ic.void.Opcode_ipf_Slot_inst_enc
9a620 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
9a640 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 63 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 .slotbuf[0].=.0x70c2;.}..static.
9a660 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 void.Opcode_ihi_Slot_inst_encode
9a680 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c .(xtensa_insnbuf.slotbuf).{...sl
9a6a0 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 65 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 otbuf[0].=.0x70e2;.}..static.voi
9a6c0 64 0a 4f 70 63 6f 64 65 5f 69 70 66 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 d.Opcode_ipfl_Slot_inst_encode.(
9a6e0 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
9a700 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 64 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a buf[0].=.0x70d2;.}..static.void.
9a720 4f 70 63 6f 64 65 5f 69 68 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 Opcode_ihu_Slot_inst_encode.(xte
9a740 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
9a760 5b 30 5d 20 3d 20 30 78 32 37 30 64 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 [0].=.0x270d2;.}..static.void.Op
9a780 63 6f 64 65 5f 69 69 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 code_iiu_Slot_inst_encode.(xtens
9a7a0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
9a7c0 5d 20 3d 20 30 78 33 37 30 64 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f ].=.0x370d2;.}..static.void.Opco
9a7e0 64 65 5f 69 69 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f de_iii_Slot_inst_encode.(xtensa_
9a800 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
9a820 3d 20 30 78 37 30 66 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f =.0x70f2;.}..static.void.Opcode_
9a840 6c 69 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e lict_Slot_inst_encode.(xtensa_in
9a860 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
9a880 30 78 66 31 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf10000;.}..static.void.Opcode_
9a8a0 6c 69 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e licw_Slot_inst_encode.(xtensa_in
9a8c0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
9a8e0 30 78 66 31 32 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf12000;.}..static.void.Opcode_
9a900 73 69 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e sict_Slot_inst_encode.(xtensa_in
9a920 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
9a940 30 78 66 31 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf11000;.}..static.void.Opcode_
9a960 73 69 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e sicw_Slot_inst_encode.(xtensa_in
9a980 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
9a9a0 30 78 66 31 33 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0xf13000;.}..static.void.Opcode_
9a9c0 64 68 77 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e dhwb_Slot_inst_encode.(xtensa_in
9a9e0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
9aa00 30 78 37 30 34 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 68 0x7042;.}..static.void.Opcode_dh
9aa20 77 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 wbi_Slot_inst_encode.(xtensa_ins
9aa40 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
9aa60 78 37 30 35 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 69 77 x7052;.}..static.void.Opcode_diw
9aa80 62 75 69 5f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 bui_p_Slot_inst_encode.(xtensa_i
9aaa0 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
9aac0 20 30 78 66 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f .0xf7082;.}..static.void.Opcode_
9aae0 64 69 77 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e diwb_Slot_inst_encode.(xtensa_in
9ab00 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
9ab20 30 78 34 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 0x47082;.}..static.void.Opcode_d
9ab40 69 77 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e iwbi_Slot_inst_encode.(xtensa_in
9ab60 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
9ab80 30 78 35 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 0x57082;.}..static.void.Opcode_d
9aba0 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e hi_Slot_inst_encode.(xtensa_insn
9abc0 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
9abe0 37 30 36 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 69 69 5f 7062;.}..static.void.Opcode_dii_
9ac00 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
9ac20 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 37 .slotbuf).{...slotbuf[0].=.0x707
9ac40 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 72 5f 53 6c 2;.}..static.void.Opcode_dpfr_Sl
9ac60 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
9ac80 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 30 32 3b lotbuf).{...slotbuf[0].=.0x7002;
9aca0 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 77 5f 53 6c 6f 74 .}..static.void.Opcode_dpfw_Slot
9acc0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f _inst_encode.(xtensa_insnbuf.slo
9ace0 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 31 32 3b 0a 7d tbuf).{...slotbuf[0].=.0x7012;.}
9ad00 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 72 6f 5f 53 6c 6f 74 5f ..static.void.Opcode_dpfro_Slot_
9ad20 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
9ad40 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 32 32 3b 0a 7d 0a buf).{...slotbuf[0].=.0x7022;.}.
9ad60 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 77 6f 5f 53 6c 6f 74 5f 69 .static.void.Opcode_dpfwo_Slot_i
9ad80 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
9ada0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 33 32 3b 0a 7d 0a 0a uf).{...slotbuf[0].=.0x7032;.}..
9adc0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 70 66 6c 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_dpfl_Slot_ins
9ade0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
9ae00 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 37 30 38 32 3b 0a 7d 0a 0a 73 74 ).{...slotbuf[0].=.0x7082;.}..st
9ae20 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 68 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 atic.void.Opcode_dhu_Slot_inst_e
9ae40 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b ncode.(xtensa_insnbuf.slotbuf).{
9ae60 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 32 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 ...slotbuf[0].=.0x27082;.}..stat
9ae80 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 64 69 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ic.void.Opcode_diu_Slot_inst_enc
9aea0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
9aec0 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 37 30 38 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 .slotbuf[0].=.0x37082;.}..static
9aee0 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 73 64 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .void.Opcode_sdct_Slot_inst_enco
9af00 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
9af20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 39 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0xf19000;.}..static
9af40 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 6c 64 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .void.Opcode_ldct_Slot_inst_enco
9af60 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
9af80 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 38 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0xf18000;.}..static
9afa0 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 53 6c 6f 74 5f 69 .void.Opcode_wsr_ptevaddr_Slot_i
9afc0 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 nst_encode.(xtensa_insnbuf.slotb
9afe0 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 35 33 30 30 3b 0a 7d uf).{...slotbuf[0].=.0x135300;.}
9b000 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 70 74 65 76 61 64 64 ..static.void.Opcode_rsr_ptevadd
9b020 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 r_Slot_inst_encode.(xtensa_insnb
9b040 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 uf.slotbuf).{...slotbuf[0].=.0x3
9b060 35 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 5300;.}..static.void.Opcode_xsr_
9b080 70 74 65 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 ptevaddr_Slot_inst_encode.(xtens
9b0a0 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 a_insnbuf.slotbuf).{...slotbuf[0
9b0c0 5d 20 3d 20 30 78 36 31 35 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 ].=.0x615300;.}..static.void.Opc
9b0e0 6f 64 65 5f 72 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 ode_rsr_rasid_Slot_inst_encode.(
9b100 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
9b120 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 61 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 buf[0].=.0x35a00;.}..static.void
9b140 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .Opcode_wsr_rasid_Slot_inst_enco
9b160 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
9b180 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 35 61 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x135a00;.}..static
9b1a0 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 .void.Opcode_xsr_rasid_Slot_inst
9b1c0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
9b1e0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 35 61 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x615a00;.}..s
9b200 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f 53 6c tatic.void.Opcode_rsr_itlbcfg_Sl
9b220 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
9b240 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 62 30 30 lotbuf).{...slotbuf[0].=.0x35b00
9b260 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 69 74 6c 62 ;.}..static.void.Opcode_wsr_itlb
9b280 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 cfg_Slot_inst_encode.(xtensa_ins
9b2a0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
9b2c0 78 31 33 35 62 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 x135b00;.}..static.void.Opcode_x
9b2e0 73 72 5f 69 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 sr_itlbcfg_Slot_inst_encode.(xte
9b300 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
9b320 5b 30 5d 20 3d 20 30 78 36 31 35 62 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f [0].=.0x615b00;.}..static.void.O
9b340 70 63 6f 64 65 5f 72 73 72 5f 64 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f pcode_rsr_dtlbcfg_Slot_inst_enco
9b360 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
9b380 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 35 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 slotbuf[0].=.0x35c00;.}..static.
9b3a0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 void.Opcode_wsr_dtlbcfg_Slot_ins
9b3c0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
9b3e0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 35 63 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x135c00;.}..
9b400 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 53 static.void.Opcode_xsr_dtlbcfg_S
9b420 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 lot_inst_encode.(xtensa_insnbuf.
9b440 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 35 63 slotbuf).{...slotbuf[0].=.0x615c
9b460 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 69 64 74 6c 62 5f 00;.}..static.void.Opcode_idtlb_
9b480 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 Slot_inst_encode.(xtensa_insnbuf
9b4a0 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 63 .slotbuf).{...slotbuf[0].=.0x50c
9b4c0 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 70 64 74 6c 62 000;.}..static.void.Opcode_pdtlb
9b4e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 _Slot_inst_encode.(xtensa_insnbu
9b500 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 f.slotbuf).{...slotbuf[0].=.0x50
9b520 64 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 64 74 6c d000;.}..static.void.Opcode_rdtl
9b540 62 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e b0_Slot_inst_encode.(xtensa_insn
9b560 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 buf.slotbuf).{...slotbuf[0].=.0x
9b580 35 30 62 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 64 50b000;.}..static.void.Opcode_rd
9b5a0 74 6c 62 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e tlb1_Slot_inst_encode.(xtensa_in
9b5c0 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
9b5e0 30 78 35 30 66 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x50f000;.}..static.void.Opcode_
9b600 77 64 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 wdtlb_Slot_inst_encode.(xtensa_i
9b620 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
9b640 20 30 78 35 30 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0x50e000;.}..static.void.Opcode
9b660 5f 69 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f _iitlb_Slot_inst_encode.(xtensa_
9b680 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
9b6a0 3d 20 30 78 35 30 34 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 =.0x504000;.}..static.void.Opcod
9b6c0 65 5f 70 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 e_pitlb_Slot_inst_encode.(xtensa
9b6e0 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
9b700 20 3d 20 30 78 35 30 35 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f .=.0x505000;.}..static.void.Opco
9b720 64 65 5f 72 69 74 6c 62 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e de_ritlb0_Slot_inst_encode.(xten
9b740 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b sa_insnbuf.slotbuf).{...slotbuf[
9b760 30 5d 20 3d 20 30 78 35 30 33 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 0].=.0x503000;.}..static.void.Op
9b780 63 6f 64 65 5f 72 69 74 6c 62 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 code_ritlb1_Slot_inst_encode.(xt
9b7a0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
9b7c0 66 5b 30 5d 20 3d 20 30 78 35 30 37 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x507000;.}..static.void.
9b7e0 4f 70 63 6f 64 65 5f 77 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 Opcode_witlb_Slot_inst_encode.(x
9b800 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
9b820 75 66 5b 30 5d 20 3d 20 30 78 35 30 36 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x506000;.}..static.void
9b840 0a 4f 70 63 6f 64 65 5f 6c 64 70 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 .Opcode_ldpte_Slot_inst_encode.(
9b860 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
9b880 62 75 66 5b 30 5d 20 3d 20 30 78 66 31 66 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0xf1f000;.}..static.voi
9b8a0 64 0a 4f 70 63 6f 64 65 5f 68 77 77 69 74 6c 62 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f d.Opcode_hwwitlba_Slot_inst_enco
9b8c0 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 de.(xtensa_insnbuf.slotbuf).{...
9b8e0 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 slotbuf[0].=.0x501000;.}..static
9b900 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 68 77 77 64 74 6c 62 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f .void.Opcode_hwwdtlba_Slot_inst_
9b920 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
9b940 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 35 30 39 30 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x509000;.}..st
9b960 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 53 6c atic.void.Opcode_rsr_cpenable_Sl
9b980 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
9b9a0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 65 30 30 30 lotbuf).{...slotbuf[0].=.0x3e000
9b9c0 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 63 70 65 6e ;.}..static.void.Opcode_wsr_cpen
9b9e0 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e able_Slot_inst_encode.(xtensa_in
9ba00 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 snbuf.slotbuf).{...slotbuf[0].=.
9ba20 30 78 31 33 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 0x13e000;.}..static.void.Opcode_
9ba40 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 xsr_cpenable_Slot_inst_encode.(x
9ba60 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
9ba80 75 66 5b 30 5d 20 3d 20 30 78 36 31 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x61e000;.}..static.void
9baa0 0a 4f 70 63 6f 64 65 5f 63 6c 61 6d 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 .Opcode_clamps_Slot_inst_encode.
9bac0 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f (xtensa_insnbuf.slotbuf).{...slo
9bae0 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f tbuf[0].=.0x330000;.}..static.vo
9bb00 69 64 0a 4f 70 63 6f 64 65 5f 6d 69 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 id.Opcode_min_Slot_inst_encode.(
9bb20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
9bb40 62 75 66 5b 30 5d 20 3d 20 30 78 34 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 buf[0].=.0x430000;.}..static.voi
9bb60 64 0a 4f 70 63 6f 64 65 5f 6d 61 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 d.Opcode_max_Slot_inst_encode.(x
9bb80 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
9bba0 75 66 5b 30 5d 20 3d 20 30 78 35 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x530000;.}..static.void
9bbc0 0a 4f 70 63 6f 64 65 5f 6d 69 6e 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 .Opcode_minu_Slot_inst_encode.(x
9bbe0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
9bc00 75 66 5b 30 5d 20 3d 20 30 78 36 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x630000;.}..static.void
9bc20 0a 4f 70 63 6f 64 65 5f 6d 61 78 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 .Opcode_maxu_Slot_inst_encode.(x
9bc40 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
9bc60 75 66 5b 30 5d 20 3d 20 30 78 37 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 uf[0].=.0x730000;.}..static.void
9bc80 0a 4f 70 63 6f 64 65 5f 6e 73 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 .Opcode_nsa_Slot_inst_encode.(xt
9bca0 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
9bcc0 66 5b 30 5d 20 3d 20 30 78 34 30 65 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x40e000;.}..static.void.
9bce0 4f 70 63 6f 64 65 5f 6e 73 61 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 Opcode_nsau_Slot_inst_encode.(xt
9bd00 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
9bd20 66 5b 30 5d 20 3d 20 30 78 34 30 66 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x40f000;.}..static.void.
9bd40 4f 70 63 6f 64 65 5f 73 65 78 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 Opcode_sext_Slot_inst_encode.(xt
9bd60 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
9bd80 66 5b 30 5d 20 3d 20 30 78 32 33 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a f[0].=.0x230000;.}..static.void.
9bda0 4f 70 63 6f 64 65 5f 6c 33 32 61 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 Opcode_l32ai_Slot_inst_encode.(x
9bdc0 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 tensa_insnbuf.slotbuf).{...slotb
9bde0 75 66 5b 30 5d 20 3d 20 30 78 62 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f uf[0].=.0xb002;.}..static.void.O
9be00 70 63 6f 64 65 5f 73 33 32 72 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 pcode_s32ri_Slot_inst_encode.(xt
9be20 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
9be40 66 5b 30 5d 20 3d 20 30 78 66 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 f[0].=.0xf002;.}..static.void.Op
9be60 63 6f 64 65 5f 73 33 32 63 31 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 code_s32c1i_Slot_inst_encode.(xt
9be80 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 ensa_insnbuf.slotbuf).{...slotbu
9bea0 66 5b 30 5d 20 3d 20 30 78 65 30 30 32 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 f[0].=.0xe002;.}..static.void.Op
9bec0 63 6f 64 65 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 code_rsr_scompare1_Slot_inst_enc
9bee0 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 ode.(xtensa_insnbuf.slotbuf).{..
9bf00 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 33 30 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 .slotbuf[0].=.0x30c00;.}..static
9bf20 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f .void.Opcode_wsr_scompare1_Slot_
9bf40 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 inst_encode.(xtensa_insnbuf.slot
9bf60 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 30 63 30 30 3b 0a buf).{...slotbuf[0].=.0x130c00;.
9bf80 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 73 63 6f 6d 70 61 }..static.void.Opcode_xsr_scompa
9bfa0 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 re1_Slot_inst_encode.(xtensa_ins
9bfc0 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 nbuf.slotbuf).{...slotbuf[0].=.0
9bfe0 78 36 31 30 63 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 x610c00;.}..static.void.Opcode_r
9c000 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 sr_atomctl_Slot_inst_encode.(xte
9c020 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
9c040 5b 30 5d 20 3d 20 30 78 33 36 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 [0].=.0x36300;.}..static.void.Op
9c060 63 6f 64 65 5f 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 code_wsr_atomctl_Slot_inst_encod
9c080 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 e.(xtensa_insnbuf.slotbuf).{...s
9c0a0 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 31 33 36 33 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 lotbuf[0].=.0x136300;.}..static.
9c0c0 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 void.Opcode_xsr_atomctl_Slot_ins
9c0e0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
9c100 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 36 31 36 33 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0x616300;.}..
9c120 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 71 75 6f 75 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_quou_Slot_ins
9c140 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
9c160 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 63 32 30 30 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0xc20000;.}..
9c180 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 71 75 6f 73 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_quos_Slot_ins
9c1a0 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
9c1c0 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 64 32 30 30 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0xd20000;.}..
9c1e0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 6d 75 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_remu_Slot_ins
9c200 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
9c220 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 32 30 30 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0xe20000;.}..
9c240 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 6d 73 5f 53 6c 6f 74 5f 69 6e 73 static.void.Opcode_rems_Slot_ins
9c260 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 t_encode.(xtensa_insnbuf.slotbuf
9c280 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 66 32 30 30 30 30 3b 0a 7d 0a 0a ).{...slotbuf[0].=.0xf20000;.}..
9c2a0 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 65 72 5f 53 6c 6f 74 5f 69 6e 73 74 static.void.Opcode_rer_Slot_inst
9c2c0 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 _encode.(xtensa_insnbuf.slotbuf)
9c2e0 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 36 30 30 30 3b 0a 7d 0a 0a 73 .{...slotbuf[0].=.0x406000;.}..s
9c300 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 65 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f tatic.void.Opcode_wer_Slot_inst_
9c320 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
9c340 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 34 30 37 30 30 30 3b 0a 7d 0a 0a 73 74 {...slotbuf[0].=.0x407000;.}..st
9c360 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 53 6c atic.void.Opcode_rur_expstate_Sl
9c380 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
9c3a0 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 33 30 65 36 lotbuf).{...slotbuf[0].=.0xe30e6
9c3c0 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 75 72 5f 65 78 70 0;.}..static.void.Opcode_wur_exp
9c3e0 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 state_Slot_inst_encode.(xtensa_i
9c400 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d nsnbuf.slotbuf).{...slotbuf[0].=
9c420 20 30 78 66 33 65 36 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 .0xf3e600;.}..static.void.Opcode
9c440 5f 72 65 61 64 5f 69 6d 70 77 69 72 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 _read_impwire_Slot_inst_encode.(
9c460 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 xtensa_insnbuf.slotbuf).{...slot
9c480 62 75 66 5b 30 5d 20 3d 20 30 78 65 30 30 30 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 buf[0].=.0xe0000;.}..static.void
9c4a0 0a 4f 70 63 6f 64 65 5f 73 65 74 62 5f 65 78 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f .Opcode_setb_expstate_Slot_inst_
9c4c0 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a encode.(xtensa_insnbuf.slotbuf).
9c4e0 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 31 30 30 30 3b 0a 7d 0a 0a 73 74 61 {...slotbuf[0].=.0xe1000;.}..sta
9c500 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 63 6c 72 62 5f 65 78 70 73 74 61 74 65 5f 53 6c tic.void.Opcode_clrb_expstate_Sl
9c520 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 ot_inst_encode.(xtensa_insnbuf.s
9c540 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 30 78 65 31 32 30 30 lotbuf).{...slotbuf[0].=.0xe1200
9c560 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 4f 70 63 6f 64 65 5f 77 72 6d 73 6b 5f 65 78 ;.}..static.void.Opcode_wrmsk_ex
9c580 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f pstate_Slot_inst_encode.(xtensa_
9c5a0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 insnbuf.slotbuf).{...slotbuf[0].
9c5c0 3d 20 30 78 65 32 30 30 30 3b 0a 7d 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f =.0xe2000;.}..xtensa_opcode_enco
9c5e0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 65 78 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d de_fn.Opcode_excw_encode_fns[].=
9c600 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 65 78 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .{...Opcode_excw_Slot_inst_encod
9c620 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9c640 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a _fn.Opcode_rfe_encode_fns[].=.{.
9c660 20 20 4f 70 63 6f 64 65 5f 72 66 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ..Opcode_rfe_Slot_inst_encode,.0
9c680 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9c6a0 4f 70 63 6f 64 65 5f 72 66 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f Opcode_rfde_encode_fns[].=.{...O
9c6c0 70 63 6f 64 65 5f 72 66 64 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 pcode_rfde_Slot_inst_encode,.0,.
9c6e0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
9c700 63 6f 64 65 5f 73 79 73 63 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 code_syscall_encode_fns[].=.{...
9c720 4f 70 63 6f 64 65 5f 73 79 73 63 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c Opcode_syscall_Slot_inst_encode,
9c740 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
9c760 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 31 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b n.Opcode_call12_encode_fns[].=.{
9c780 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 31 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ...Opcode_call12_Slot_inst_encod
9c7a0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9c7c0 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 _fn.Opcode_call8_encode_fns[].=.
9c7e0 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 {...Opcode_call8_Slot_inst_encod
9c800 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9c820 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 _fn.Opcode_call4_encode_fns[].=.
9c840 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 {...Opcode_call4_Slot_inst_encod
9c860 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9c880 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 31 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 _fn.Opcode_callx12_encode_fns[].
9c8a0 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 31 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 =.{...Opcode_callx12_Slot_inst_e
9c8c0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9c8e0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_fn.Opcode_callx8_encode_fns
9c900 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 [].=.{...Opcode_callx8_Slot_inst
9c920 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
9c940 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 34 5f 65 6e 63 6f 64 65 5f 66 encode_fn.Opcode_callx4_encode_f
9c960 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 34 5f 53 6c 6f 74 5f 69 6e ns[].=.{...Opcode_callx4_Slot_in
9c980 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9c9a0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 65 6e 74 72 79 5f 65 6e 63 6f 64 65 5f e_encode_fn.Opcode_entry_encode_
9c9c0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 65 6e 74 72 79 5f 53 6c 6f 74 5f 69 6e fns[].=.{...Opcode_entry_Slot_in
9c9e0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9ca00 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 73 70 5f 65 6e 63 6f 64 65 5f e_encode_fn.Opcode_movsp_encode_
9ca20 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 73 70 5f 53 6c 6f 74 5f 69 6e fns[].=.{...Opcode_movsp_Slot_in
9ca40 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9ca60 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 6f 74 77 5f 65 6e 63 6f 64 65 5f 66 e_encode_fn.Opcode_rotw_encode_f
9ca80 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 6f 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 ns[].=.{...Opcode_rotw_Slot_inst
9caa0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
9cac0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 encode_fn.Opcode_retw_encode_fns
9cae0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 [].=.{...Opcode_retw_Slot_inst_e
9cb00 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9cb20 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_fn.Opcode_retw_n_encode_fns
9cb40 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 6e 5f 53 6c 6f [].=.{...0,.0,.Opcode_retw_n_Slo
9cb60 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f t_inst16b_encode.};..xtensa_opco
9cb80 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 77 6f 5f 65 6e 63 6f 64 65 5f de_encode_fn.Opcode_rfwo_encode_
9cba0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 66 77 6f 5f 53 6c 6f 74 5f 69 6e 73 fns[].=.{...Opcode_rfwo_Slot_ins
9cbc0 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
9cbe0 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 77 75 5f 65 6e 63 6f 64 65 5f 66 6e _encode_fn.Opcode_rfwu_encode_fn
9cc00 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 66 77 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f s[].=.{...Opcode_rfwu_Slot_inst_
9cc20 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
9cc40 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 33 32 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b ncode_fn.Opcode_l32e_encode_fns[
9cc60 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 33 32 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ].=.{...Opcode_l32e_Slot_inst_en
9cc80 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
9cca0 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 33 32 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 ode_fn.Opcode_s32e_encode_fns[].
9ccc0 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 33 32 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f =.{...Opcode_s32e_Slot_inst_enco
9cce0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
9cd00 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 6e 63 6f 64 e_fn.Opcode_rsr_windowbase_encod
9cd20 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 62 e_fns[].=.{...Opcode_rsr_windowb
9cd40 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 ase_Slot_inst_encode,.0,.0.};..x
9cd60 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 tensa_opcode_encode_fn.Opcode_ws
9cd80 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 r_windowbase_encode_fns[].=.{...
9cda0 4f 70 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f Opcode_wsr_windowbase_Slot_inst_
9cdc0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
9cde0 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 ncode_fn.Opcode_xsr_windowbase_e
9ce00 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e ncode_fns[].=.{...Opcode_xsr_win
9ce20 64 6f 77 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d dowbase_Slot_inst_encode,.0,.0.}
9ce40 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 ;..xtensa_opcode_encode_fn.Opcod
9ce60 65 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d e_rsr_windowstart_encode_fns[].=
9ce80 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 53 6c 6f 74 .{...Opcode_rsr_windowstart_Slot
9cea0 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
9cec0 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 code_encode_fn.Opcode_wsr_window
9cee0 73 74 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f start_encode_fns[].=.{...Opcode_
9cf00 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 wsr_windowstart_Slot_inst_encode
9cf20 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
9cf40 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 65 6e 63 6f 64 65 fn.Opcode_xsr_windowstart_encode
9cf60 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 _fns[].=.{...Opcode_xsr_windowst
9cf80 61 72 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 art_Slot_inst_encode,.0,.0.};..x
9cfa0 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 61 64 tensa_opcode_encode_fn.Opcode_ad
9cfc0 64 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 4f 70 63 6f 64 65 d_n_encode_fns[].=.{...0,.Opcode
9cfe0 5f 61 64 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 65 2c 20 30 0a 7d 3b _add_n_Slot_inst16a_encode,.0.};
9d000 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9d020 5f 61 64 64 69 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 4f 70 _addi_n_encode_fns[].=.{...0,.Op
9d040 63 6f 64 65 5f 61 64 64 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 65 2c code_addi_n_Slot_inst16a_encode,
9d060 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
9d080 70 63 6f 64 65 5f 62 65 71 7a 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 pcode_beqz_n_encode_fns[].=.{...
9d0a0 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 62 65 71 7a 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 0,.0,.Opcode_beqz_n_Slot_inst16b
9d0c0 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 _encode.};..xtensa_opcode_encode
9d0e0 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6e 65 7a 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d _fn.Opcode_bnez_n_encode_fns[].=
9d100 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 62 6e 65 7a 5f 6e 5f 53 6c 6f 74 5f 69 6e .{...0,.0,.Opcode_bnez_n_Slot_in
9d120 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 st16b_encode.};..xtensa_opcode_e
9d140 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 6c 6c 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 ncode_fn.Opcode_ill_n_encode_fns
9d160 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 69 6c 6c 5f 6e 5f 53 6c 6f 74 [].=.{...0,.0,.Opcode_ill_n_Slot
9d180 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 _inst16b_encode.};..xtensa_opcod
9d1a0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 6e 5f 65 6e 63 6f 64 65 e_encode_fn.Opcode_l32i_n_encode
9d1c0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 6e 5f 53 6c _fns[].=.{...0,.Opcode_l32i_n_Sl
9d1e0 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 63 6f 64 65 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst16a_encode,.0.};..xtensa_
9d200 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 5f 6e 5f 65 6e opcode_encode_fn.Opcode_mov_n_en
9d220 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 6d 6f code_fns[].=.{...0,.0,.Opcode_mo
9d240 76 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 74 65 6e v_n_Slot_inst16b_encode.};..xten
9d260 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f sa_opcode_encode_fn.Opcode_movi_
9d280 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 n_encode_fns[].=.{...0,.0,.Opcod
9d2a0 65 5f 6d 6f 76 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a e_movi_n_Slot_inst16b_encode.};.
9d2c0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
9d2e0 6e 6f 70 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f nop_n_encode_fns[].=.{...0,.0,.O
9d300 70 63 6f 64 65 5f 6e 6f 70 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a pcode_nop_n_Slot_inst16b_encode.
9d320 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
9d340 64 65 5f 72 65 74 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 de_ret_n_encode_fns[].=.{...0,.0
9d360 2c 20 4f 70 63 6f 64 65 5f 72 65 74 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f ,.Opcode_ret_n_Slot_inst16b_enco
9d380 64 65 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f de.};..xtensa_opcode_encode_fn.O
9d3a0 70 63 6f 64 65 5f 73 33 32 69 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 pcode_s32i_n_encode_fns[].=.{...
9d3c0 30 2c 20 4f 70 63 6f 64 65 5f 73 33 32 69 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 65 6e 0,.Opcode_s32i_n_Slot_inst16a_en
9d3e0 63 6f 64 65 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 code,.0.};..xtensa_opcode_encode
9d400 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 5f 65 6e 63 6f 64 65 5f _fn.Opcode_rur_threadptr_encode_
9d420 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 75 72 5f 74 68 72 65 61 64 70 74 72 fns[].=.{...Opcode_rur_threadptr
9d440 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
9d460 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 75 72 5f 74 sa_opcode_encode_fn.Opcode_wur_t
9d480 68 72 65 61 64 70 74 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f hreadptr_encode_fns[].=.{...Opco
9d4a0 64 65 5f 77 75 72 5f 74 68 72 65 61 64 70 74 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 de_wur_threadptr_Slot_inst_encod
9d4c0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9d4e0 5f 66 6e 20 4f 70 63 6f 64 65 5f 61 64 64 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b _fn.Opcode_addi_encode_fns[].=.{
9d500 0a 20 20 4f 70 63 6f 64 65 5f 61 64 64 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ...Opcode_addi_Slot_inst_encode,
9d520 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
9d540 6e 20 4f 70 63 6f 64 65 5f 61 64 64 6d 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a n.Opcode_addmi_encode_fns[].=.{.
9d560 20 20 4f 70 63 6f 64 65 5f 61 64 64 6d 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ..Opcode_addmi_Slot_inst_encode,
9d580 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
9d5a0 6e 20 4f 70 63 6f 64 65 5f 61 64 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 n.Opcode_add_encode_fns[].=.{...
9d5c0 4f 70 63 6f 64 65 5f 61 64 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 Opcode_add_Slot_inst_encode,.0,.
9d5e0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
9d600 63 6f 64 65 5f 73 75 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f code_sub_encode_fns[].=.{...Opco
9d620 64 65 5f 73 75 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b de_sub_Slot_inst_encode,.0,.0.};
9d640 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9d660 5f 61 64 64 78 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _addx2_encode_fns[].=.{...Opcode
9d680 5f 61 64 64 78 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _addx2_Slot_inst_encode,.0,.0.};
9d6a0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9d6c0 5f 61 64 64 78 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _addx4_encode_fns[].=.{...Opcode
9d6e0 5f 61 64 64 78 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _addx4_Slot_inst_encode,.0,.0.};
9d700 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9d720 5f 61 64 64 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _addx8_encode_fns[].=.{...Opcode
9d740 5f 61 64 64 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _addx8_Slot_inst_encode,.0,.0.};
9d760 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9d780 5f 73 75 62 78 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _subx2_encode_fns[].=.{...Opcode
9d7a0 5f 73 75 62 78 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _subx2_Slot_inst_encode,.0,.0.};
9d7c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9d7e0 5f 73 75 62 78 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _subx4_encode_fns[].=.{...Opcode
9d800 5f 73 75 62 78 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _subx4_Slot_inst_encode,.0,.0.};
9d820 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9d840 5f 73 75 62 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _subx8_encode_fns[].=.{...Opcode
9d860 5f 73 75 62 78 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _subx8_Slot_inst_encode,.0,.0.};
9d880 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9d8a0 5f 61 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 61 _and_encode_fns[].=.{...Opcode_a
9d8c0 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nd_Slot_inst_encode,.0,.0.};..xt
9d8e0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6f 72 5f ensa_opcode_encode_fn.Opcode_or_
9d900 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6f 72 5f 53 6c 6f encode_fns[].=.{...Opcode_or_Slo
9d920 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
9d940 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 6f 72 5f 65 6e 63 6f 64 pcode_encode_fn.Opcode_xor_encod
9d960 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 6f 72 5f 53 6c 6f 74 5f 69 6e e_fns[].=.{...Opcode_xor_Slot_in
9d980 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9d9a0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 65 71 69 5f 65 6e 63 6f 64 65 5f 66 e_encode_fn.Opcode_beqi_encode_f
9d9c0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 65 71 69 5f 53 6c 6f 74 5f 69 6e 73 74 ns[].=.{...Opcode_beqi_Slot_inst
9d9e0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
9da00 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6e 65 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 encode_fn.Opcode_bnei_encode_fns
9da20 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6e 65 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 [].=.{...Opcode_bnei_Slot_inst_e
9da40 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9da60 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 67 65 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d code_fn.Opcode_bgei_encode_fns[]
9da80 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 67 65 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .=.{...Opcode_bgei_Slot_inst_enc
9daa0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
9dac0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6c 74 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d de_fn.Opcode_blti_encode_fns[].=
9dae0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6c 74 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .{...Opcode_blti_Slot_inst_encod
9db00 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9db20 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 62 63 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b _fn.Opcode_bbci_encode_fns[].=.{
9db40 0a 20 20 4f 70 63 6f 64 65 5f 62 62 63 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ...Opcode_bbci_Slot_inst_encode,
9db60 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
9db80 6e 20 4f 70 63 6f 64 65 5f 62 62 73 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 n.Opcode_bbsi_encode_fns[].=.{..
9dba0 20 4f 70 63 6f 64 65 5f 62 62 73 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 .Opcode_bbsi_Slot_inst_encode,.0
9dbc0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9dbe0 4f 70 63 6f 64 65 5f 62 67 65 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 Opcode_bgeui_encode_fns[].=.{...
9dc00 4f 70 63 6f 64 65 5f 62 67 65 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 Opcode_bgeui_Slot_inst_encode,.0
9dc20 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9dc40 4f 70 63 6f 64 65 5f 62 6c 74 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 Opcode_bltui_encode_fns[].=.{...
9dc60 4f 70 63 6f 64 65 5f 62 6c 74 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 Opcode_bltui_Slot_inst_encode,.0
9dc80 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9dca0 4f 70 63 6f 64 65 5f 62 65 71 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 Opcode_beq_encode_fns[].=.{...Op
9dcc0 63 6f 64 65 5f 62 65 71 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a code_beq_Slot_inst_encode,.0,.0.
9dce0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
9dd00 64 65 5f 62 6e 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 de_bne_encode_fns[].=.{...Opcode
9dd20 5f 62 6e 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a _bne_Slot_inst_encode,.0,.0.};..
9dd40 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 xtensa_opcode_encode_fn.Opcode_b
9dd60 67 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 67 65 ge_encode_fns[].=.{...Opcode_bge
9dd80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
9dda0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6c 74 5f 65 sa_opcode_encode_fn.Opcode_blt_e
9ddc0 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6c 74 5f 53 6c 6f ncode_fns[].=.{...Opcode_blt_Slo
9dde0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
9de00 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 67 65 75 5f 65 6e 63 6f pcode_encode_fn.Opcode_bgeu_enco
9de20 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 67 65 75 5f 53 6c 6f 74 5f de_fns[].=.{...Opcode_bgeu_Slot_
9de40 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
9de60 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6c 74 75 5f 65 6e 63 6f 64 65 ode_encode_fn.Opcode_bltu_encode
9de80 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6c 74 75 5f 53 6c 6f 74 5f 69 6e _fns[].=.{...Opcode_bltu_Slot_in
9dea0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9dec0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 61 6e 79 5f 65 6e 63 6f 64 65 5f 66 e_encode_fn.Opcode_bany_encode_f
9dee0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 61 6e 79 5f 53 6c 6f 74 5f 69 6e 73 74 ns[].=.{...Opcode_bany_Slot_inst
9df00 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
9df20 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6e 6f 6e 65 5f 65 6e 63 6f 64 65 5f 66 6e encode_fn.Opcode_bnone_encode_fn
9df40 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6e 6f 6e 65 5f 53 6c 6f 74 5f 69 6e 73 74 s[].=.{...Opcode_bnone_Slot_inst
9df60 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
9df80 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 encode_fn.Opcode_ball_encode_fns
9dfa0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 [].=.{...Opcode_ball_Slot_inst_e
9dfc0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9dfe0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 6e 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b code_fn.Opcode_bnall_encode_fns[
9e000 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 6e 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ].=.{...Opcode_bnall_Slot_inst_e
9e020 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9e040 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 62 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 code_fn.Opcode_bbc_encode_fns[].
9e060 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 62 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 =.{...Opcode_bbc_Slot_inst_encod
9e080 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9e0a0 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 62 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a _fn.Opcode_bbs_encode_fns[].=.{.
9e0c0 20 20 4f 70 63 6f 64 65 5f 62 62 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ..Opcode_bbs_Slot_inst_encode,.0
9e0e0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9e100 4f 70 63 6f 64 65 5f 62 65 71 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f Opcode_beqz_encode_fns[].=.{...O
9e120 70 63 6f 64 65 5f 62 65 71 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 pcode_beqz_Slot_inst_encode,.0,.
9e140 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
9e160 63 6f 64 65 5f 62 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 code_bnez_encode_fns[].=.{...Opc
9e180 6f 64 65 5f 62 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ode_bnez_Slot_inst_encode,.0,.0.
9e1a0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
9e1c0 64 65 5f 62 67 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 de_bgez_encode_fns[].=.{...Opcod
9e1e0 65 5f 62 67 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b e_bgez_Slot_inst_encode,.0,.0.};
9e200 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9e220 5f 62 6c 74 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _bltz_encode_fns[].=.{...Opcode_
9e240 62 6c 74 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a bltz_Slot_inst_encode,.0,.0.};..
9e260 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 xtensa_opcode_encode_fn.Opcode_c
9e280 61 6c 6c 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 all0_encode_fns[].=.{...Opcode_c
9e2a0 61 6c 6c 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a all0_Slot_inst_encode,.0,.0.};..
9e2c0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 xtensa_opcode_encode_fn.Opcode_c
9e2e0 61 6c 6c 78 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f allx0_encode_fns[].=.{...Opcode_
9e300 63 61 6c 6c 78 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b callx0_Slot_inst_encode,.0,.0.};
9e320 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9e340 5f 65 78 74 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 _extui_encode_fns[].=.{...Opcode
9e360 5f 65 78 74 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _extui_Slot_inst_encode,.0,.0.};
9e380 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9e3a0 5f 69 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 _ill_encode_fns[].=.{...Opcode_i
9e3c0 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ll_Slot_inst_encode,.0,.0.};..xt
9e3e0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6a 5f 65 ensa_opcode_encode_fn.Opcode_j_e
9e400 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6a 5f 53 6c 6f 74 5f ncode_fns[].=.{...Opcode_j_Slot_
9e420 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
9e440 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6a 78 5f 65 6e 63 6f 64 65 5f 66 ode_encode_fn.Opcode_jx_encode_f
9e460 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6a 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ns[].=.{...Opcode_jx_Slot_inst_e
9e480 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9e4a0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 31 36 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b code_fn.Opcode_l16ui_encode_fns[
9e4c0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 31 36 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ].=.{...Opcode_l16ui_Slot_inst_e
9e4e0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9e500 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 31 36 73 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b code_fn.Opcode_l16si_encode_fns[
9e520 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 31 36 73 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ].=.{...Opcode_l16si_Slot_inst_e
9e540 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9e560 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d code_fn.Opcode_l32i_encode_fns[]
9e580 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .=.{...Opcode_l32i_Slot_inst_enc
9e5a0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
9e5c0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 33 32 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d de_fn.Opcode_l32r_encode_fns[].=
9e5e0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 33 32 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .{...Opcode_l32r_Slot_inst_encod
9e600 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9e620 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 38 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b _fn.Opcode_l8ui_encode_fns[].=.{
9e640 0a 20 20 4f 70 63 6f 64 65 5f 6c 38 75 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ...Opcode_l8ui_Slot_inst_encode,
9e660 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
9e680 6e 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 n.Opcode_loop_encode_fns[].=.{..
9e6a0 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 .Opcode_loop_Slot_inst_encode,.0
9e6c0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9e6e0 4f 70 63 6f 64 65 5f 6c 6f 6f 70 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a Opcode_loopnez_encode_fns[].=.{.
9e700 20 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ..Opcode_loopnez_Slot_inst_encod
9e720 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9e740 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 67 74 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 _fn.Opcode_loopgtz_encode_fns[].
9e760 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 67 74 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 =.{...Opcode_loopgtz_Slot_inst_e
9e780 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9e7a0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d code_fn.Opcode_movi_encode_fns[]
9e7c0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .=.{...Opcode_movi_Slot_inst_enc
9e7e0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
9e800 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 65 71 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d de_fn.Opcode_moveqz_encode_fns[]
9e820 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 65 71 7a 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .=.{...Opcode_moveqz_Slot_inst_e
9e840 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9e860 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_fn.Opcode_movnez_encode_fns
9e880 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 6e 65 7a 5f 53 6c 6f 74 5f 69 6e 73 74 [].=.{...Opcode_movnez_Slot_inst
9e8a0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
9e8c0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 6c 74 7a 5f 65 6e 63 6f 64 65 5f 66 encode_fn.Opcode_movltz_encode_f
9e8e0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 6c 74 7a 5f 53 6c 6f 74 5f 69 6e ns[].=.{...Opcode_movltz_Slot_in
9e900 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9e920 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 6f 76 67 65 7a 5f 65 6e 63 6f 64 65 e_encode_fn.Opcode_movgez_encode
9e940 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 67 65 7a 5f 53 6c 6f 74 5f _fns[].=.{...Opcode_movgez_Slot_
9e960 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
9e980 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6e 65 67 5f 65 6e 63 6f 64 65 5f ode_encode_fn.Opcode_neg_encode_
9e9a0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6e 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 fns[].=.{...Opcode_neg_Slot_inst
9e9c0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
9e9e0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 61 62 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b encode_fn.Opcode_abs_encode_fns[
9ea00 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 61 62 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ].=.{...Opcode_abs_Slot_inst_enc
9ea20 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
9ea40 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6e 6f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 de_fn.Opcode_nop_encode_fns[].=.
9ea60 7b 0a 20 20 4f 70 63 6f 64 65 5f 6e 6f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c {...Opcode_nop_Slot_inst_encode,
9ea80 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
9eaa0 6e 20 4f 70 63 6f 64 65 5f 72 65 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 n.Opcode_ret_encode_fns[].=.{...
9eac0 4f 70 63 6f 64 65 5f 72 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 Opcode_ret_Slot_inst_encode,.0,.
9eae0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
9eb00 63 6f 64 65 5f 73 69 6d 63 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 code_simcall_encode_fns[].=.{...
9eb20 4f 70 63 6f 64 65 5f 73 69 6d 63 61 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c Opcode_simcall_Slot_inst_encode,
9eb40 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
9eb60 6e 20 4f 70 63 6f 64 65 5f 73 31 36 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 n.Opcode_s16i_encode_fns[].=.{..
9eb80 20 4f 70 63 6f 64 65 5f 73 31 36 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 .Opcode_s16i_Slot_inst_encode,.0
9eba0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9ebc0 4f 70 63 6f 64 65 5f 73 33 32 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f Opcode_s32i_encode_fns[].=.{...O
9ebe0 70 63 6f 64 65 5f 73 33 32 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 pcode_s32i_Slot_inst_encode,.0,.
9ec00 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
9ec20 63 6f 64 65 5f 73 33 32 6e 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 code_s32nb_encode_fns[].=.{...Op
9ec40 63 6f 64 65 5f 73 33 32 6e 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 code_s32nb_Slot_inst_encode,.0,.
9ec60 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
9ec80 63 6f 64 65 5f 73 38 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f code_s8i_encode_fns[].=.{...Opco
9eca0 64 65 5f 73 38 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b de_s8i_Slot_inst_encode,.0,.0.};
9ecc0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9ece0 5f 73 73 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 _ssr_encode_fns[].=.{...Opcode_s
9ed00 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 sr_Slot_inst_encode,.0,.0.};..xt
9ed20 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 73 6c ensa_opcode_encode_fn.Opcode_ssl
9ed40 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 73 6c 5f 53 _encode_fns[].=.{...Opcode_ssl_S
9ed60 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
9ed80 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 73 61 38 6c 5f 65 _opcode_encode_fn.Opcode_ssa8l_e
9eda0 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 73 61 38 6c 5f 53 ncode_fns[].=.{...Opcode_ssa8l_S
9edc0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
9ede0 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 73 61 38 62 5f 65 _opcode_encode_fn.Opcode_ssa8b_e
9ee00 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 73 61 38 62 5f 53 ncode_fns[].=.{...Opcode_ssa8b_S
9ee20 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
9ee40 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 73 61 69 5f 65 6e _opcode_encode_fn.Opcode_ssai_en
9ee60 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 73 61 69 5f 53 6c 6f code_fns[].=.{...Opcode_ssai_Slo
9ee80 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
9eea0 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 6c 6c 5f 65 6e 63 6f 64 pcode_encode_fn.Opcode_sll_encod
9eec0 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 6c 6c 5f 53 6c 6f 74 5f 69 6e e_fns[].=.{...Opcode_sll_Slot_in
9eee0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9ef00 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 72 63 5f 65 6e 63 6f 64 65 5f 66 6e e_encode_fn.Opcode_src_encode_fn
9ef20 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 72 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 s[].=.{...Opcode_src_Slot_inst_e
9ef40 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9ef60 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 72 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 code_fn.Opcode_srl_encode_fns[].
9ef80 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 72 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 =.{...Opcode_srl_Slot_inst_encod
9efa0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9efc0 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 72 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a _fn.Opcode_sra_encode_fns[].=.{.
9efe0 20 20 4f 70 63 6f 64 65 5f 73 72 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ..Opcode_sra_Slot_inst_encode,.0
9f000 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9f020 4f 70 63 6f 64 65 5f 73 6c 6c 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f Opcode_slli_encode_fns[].=.{...O
9f040 70 63 6f 64 65 5f 73 6c 6c 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 pcode_slli_Slot_inst_encode,.0,.
9f060 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
9f080 63 6f 64 65 5f 73 72 61 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 code_srai_encode_fns[].=.{...Opc
9f0a0 6f 64 65 5f 73 72 61 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ode_srai_Slot_inst_encode,.0,.0.
9f0c0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
9f0e0 64 65 5f 73 72 6c 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 de_srli_encode_fns[].=.{...Opcod
9f100 65 5f 73 72 6c 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b e_srli_Slot_inst_encode,.0,.0.};
9f120 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9f140 5f 6d 65 6d 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _memw_encode_fns[].=.{...Opcode_
9f160 6d 65 6d 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a memw_Slot_inst_encode,.0,.0.};..
9f180 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 65 xtensa_opcode_encode_fn.Opcode_e
9f1a0 78 74 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 65 78 xtw_encode_fns[].=.{...Opcode_ex
9f1c0 74 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 tw_Slot_inst_encode,.0,.0.};..xt
9f1e0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 73 79 ensa_opcode_encode_fn.Opcode_isy
9f200 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 73 79 nc_encode_fns[].=.{...Opcode_isy
9f220 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nc_Slot_inst_encode,.0,.0.};..xt
9f240 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 79 ensa_opcode_encode_fn.Opcode_rsy
9f260 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 79 nc_encode_fns[].=.{...Opcode_rsy
9f280 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nc_Slot_inst_encode,.0,.0.};..xt
9f2a0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 65 73 79 ensa_opcode_encode_fn.Opcode_esy
9f2c0 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 65 73 79 nc_encode_fns[].=.{...Opcode_esy
9f2e0 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nc_Slot_inst_encode,.0,.0.};..xt
9f300 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 73 79 ensa_opcode_encode_fn.Opcode_dsy
9f320 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 73 79 nc_encode_fns[].=.{...Opcode_dsy
9f340 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 nc_Slot_inst_encode,.0,.0.};..xt
9f360 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 69 ensa_opcode_encode_fn.Opcode_rsi
9f380 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 69 6c l_encode_fns[].=.{...Opcode_rsil
9f3a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
9f3c0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c sa_opcode_encode_fn.Opcode_rsr_l
9f3e0 65 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 end_encode_fns[].=.{...Opcode_rs
9f400 72 5f 6c 65 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b r_lend_Slot_inst_encode,.0,.0.};
9f420 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9f440 5f 77 73 72 5f 6c 65 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _wsr_lend_encode_fns[].=.{...Opc
9f460 6f 64 65 5f 77 73 72 5f 6c 65 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_wsr_lend_Slot_inst_encode,.0
9f480 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9f4a0 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 65 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_xsr_lend_encode_fns[].=.{
9f4c0 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 65 6e 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_xsr_lend_Slot_inst_enc
9f4e0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
9f500 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 de_fn.Opcode_rsr_lcount_encode_f
9f520 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 53 6c 6f ns[].=.{...Opcode_rsr_lcount_Slo
9f540 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
9f560 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 63 6f 75 6e pcode_encode_fn.Opcode_wsr_lcoun
9f580 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f t_encode_fns[].=.{...Opcode_wsr_
9f5a0 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b lcount_Slot_inst_encode,.0,.0.};
9f5c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9f5e0 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f _xsr_lcount_encode_fns[].=.{...O
9f600 70 63 6f 64 65 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_xsr_lcount_Slot_inst_encod
9f620 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9f640 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d _fn.Opcode_rsr_lbeg_encode_fns[]
9f660 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 62 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 .=.{...Opcode_rsr_lbeg_Slot_inst
9f680 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
9f6a0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 encode_fn.Opcode_wsr_lbeg_encode
9f6c0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 62 65 67 5f 53 6c 6f _fns[].=.{...Opcode_wsr_lbeg_Slo
9f6e0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
9f700 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 62 65 67 5f pcode_encode_fn.Opcode_xsr_lbeg_
9f720 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 62 encode_fns[].=.{...Opcode_xsr_lb
9f740 65 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 eg_Slot_inst_encode,.0,.0.};..xt
9f760 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 ensa_opcode_encode_fn.Opcode_rsr
9f780 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 _sar_encode_fns[].=.{...Opcode_r
9f7a0 73 72 5f 73 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b sr_sar_Slot_inst_encode,.0,.0.};
9f7c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9f7e0 5f 77 73 72 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f _wsr_sar_encode_fns[].=.{...Opco
9f800 64 65 5f 77 73 72 5f 73 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 de_wsr_sar_Slot_inst_encode,.0,.
9f820 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
9f840 63 6f 64 65 5f 78 73 72 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 code_xsr_sar_encode_fns[].=.{...
9f860 4f 70 63 6f 64 65 5f 78 73 72 5f 73 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c Opcode_xsr_sar_Slot_inst_encode,
9f880 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
9f8a0 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d n.Opcode_rsr_memctl_encode_fns[]
9f8c0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e .=.{...Opcode_rsr_memctl_Slot_in
9f8e0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9f900 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e e_encode_fn.Opcode_wsr_memctl_en
9f920 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 65 6d 63 code_fns[].=.{...Opcode_wsr_memc
9f940 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 tl_Slot_inst_encode,.0,.0.};..xt
9f960 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 ensa_opcode_encode_fn.Opcode_xsr
9f980 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 _memctl_encode_fns[].=.{...Opcod
9f9a0 65 5f 78 73 72 5f 6d 65 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 e_xsr_memctl_Slot_inst_encode,.0
9f9c0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9f9e0 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 Opcode_rsr_litbase_encode_fns[].
9fa00 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 69 74 62 61 73 65 5f 53 6c 6f 74 5f 69 6e =.{...Opcode_rsr_litbase_Slot_in
9fa20 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9fa40 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 69 74 62 61 73 65 5f 65 e_encode_fn.Opcode_wsr_litbase_e
9fa60 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 69 74 ncode_fns[].=.{...Opcode_wsr_lit
9fa80 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a base_Slot_inst_encode,.0,.0.};..
9faa0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
9fac0 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 sr_litbase_encode_fns[].=.{...Op
9fae0 63 6f 64 65 5f 78 73 72 5f 6c 69 74 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 code_xsr_litbase_Slot_inst_encod
9fb00 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9fb20 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 65 6e 63 6f 64 65 5f _fn.Opcode_rsr_configid0_encode_
9fb40 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 fns[].=.{...Opcode_rsr_configid0
9fb60 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
9fb80 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 sa_opcode_encode_fn.Opcode_wsr_c
9fba0 6f 6e 66 69 67 69 64 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f onfigid0_encode_fns[].=.{...Opco
9fbc0 64 65 5f 77 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 de_wsr_configid0_Slot_inst_encod
9fbe0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
9fc00 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 5f 65 6e 63 6f 64 65 5f _fn.Opcode_rsr_configid1_encode_
9fc20 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 fns[].=.{...Opcode_rsr_configid1
9fc40 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
9fc60 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 70 sa_opcode_encode_fn.Opcode_rsr_p
9fc80 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f s_encode_fns[].=.{...Opcode_rsr_
9fca0 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ps_Slot_inst_encode,.0,.0.};..xt
9fcc0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 ensa_opcode_encode_fn.Opcode_wsr
9fce0 5f 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 _ps_encode_fns[].=.{...Opcode_ws
9fd00 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a r_ps_Slot_inst_encode,.0,.0.};..
9fd20 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
9fd40 73 72 5f 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f sr_ps_encode_fns[].=.{...Opcode_
9fd60 78 73 72 5f 70 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b xsr_ps_Slot_inst_encode,.0,.0.};
9fd80 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9fda0 5f 72 73 72 5f 65 70 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _rsr_epc1_encode_fns[].=.{...Opc
9fdc0 6f 64 65 5f 72 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_rsr_epc1_Slot_inst_encode,.0
9fde0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
9fe00 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_wsr_epc1_encode_fns[].=.{
9fe20 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_wsr_epc1_Slot_inst_enc
9fe40 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
9fe60 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 de_fn.Opcode_xsr_epc1_encode_fns
9fe80 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 31 5f 53 6c 6f 74 5f 69 6e [].=.{...Opcode_xsr_epc1_Slot_in
9fea0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
9fec0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 31 5f e_encode_fn.Opcode_rsr_excsave1_
9fee0 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 encode_fns[].=.{...Opcode_rsr_ex
9ff00 63 73 61 76 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b csave1_Slot_inst_encode,.0,.0.};
9ff20 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
9ff40 5f 77 73 72 5f 65 78 63 73 61 76 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 _wsr_excsave1_encode_fns[].=.{..
9ff60 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .Opcode_wsr_excsave1_Slot_inst_e
9ff80 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
9ffa0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 31 5f 65 6e 63 6f code_fn.Opcode_xsr_excsave1_enco
9ffc0 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 de_fns[].=.{...Opcode_xsr_excsav
9ffe0 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 e1_Slot_inst_encode,.0,.0.};..xt
a0000 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 ensa_opcode_encode_fn.Opcode_rsr
a0020 5f 65 70 63 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _epc2_encode_fns[].=.{...Opcode_
a0040 72 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a rsr_epc2_Slot_inst_encode,.0,.0.
a0060 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a0080 64 65 5f 77 73 72 5f 65 70 63 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f de_wsr_epc2_encode_fns[].=.{...O
a00a0 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c pcode_wsr_epc2_Slot_inst_encode,
a00c0 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
a00e0 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d n.Opcode_xsr_epc2_encode_fns[].=
a0100 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .{...Opcode_xsr_epc2_Slot_inst_e
a0120 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
a0140 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 32 5f 65 6e 63 6f code_fn.Opcode_rsr_excsave2_enco
a0160 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 de_fns[].=.{...Opcode_rsr_excsav
a0180 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 e2_Slot_inst_encode,.0,.0.};..xt
a01a0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 ensa_opcode_encode_fn.Opcode_wsr
a01c0 5f 65 78 63 73 61 76 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _excsave2_encode_fns[].=.{...Opc
a01e0 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ode_wsr_excsave2_Slot_inst_encod
a0200 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a0220 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 65 6e 63 6f 64 65 5f 66 _fn.Opcode_xsr_excsave2_encode_f
a0240 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 32 5f 53 ns[].=.{...Opcode_xsr_excsave2_S
a0260 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
a0280 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 _opcode_encode_fn.Opcode_rsr_epc
a02a0 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 3_encode_fns[].=.{...Opcode_rsr_
a02c0 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a epc3_Slot_inst_encode,.0,.0.};..
a02e0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 xtensa_opcode_encode_fn.Opcode_w
a0300 73 72 5f 65 70 63 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 sr_epc3_encode_fns[].=.{...Opcod
a0320 65 5f 77 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 e_wsr_epc3_Slot_inst_encode,.0,.
a0340 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
a0360 63 6f 64 65 5f 78 73 72 5f 65 70 63 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 code_xsr_epc3_encode_fns[].=.{..
a0380 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_xsr_epc3_Slot_inst_encod
a03a0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a03c0 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 _fn.Opcode_rsr_excsave3_encode_f
a03e0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 33 5f 53 ns[].=.{...Opcode_rsr_excsave3_S
a0400 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
a0420 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 _opcode_encode_fn.Opcode_wsr_exc
a0440 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f save3_encode_fns[].=.{...Opcode_
a0460 77 73 72 5f 65 78 63 73 61 76 65 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 wsr_excsave3_Slot_inst_encode,.0
a0480 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a04a0 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d Opcode_xsr_excsave3_encode_fns[]
a04c0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 33 5f 53 6c 6f 74 5f .=.{...Opcode_xsr_excsave3_Slot_
a04e0 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
a0500 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 34 5f 65 6e ode_encode_fn.Opcode_rsr_epc4_en
a0520 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 34 code_fns[].=.{...Opcode_rsr_epc4
a0540 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a0560 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 sa_opcode_encode_fn.Opcode_wsr_e
a0580 70 63 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 pc4_encode_fns[].=.{...Opcode_ws
a05a0 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b r_epc4_Slot_inst_encode,.0,.0.};
a05c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
a05e0 5f 78 73 72 5f 65 70 63 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _xsr_epc4_encode_fns[].=.{...Opc
a0600 6f 64 65 5f 78 73 72 5f 65 70 63 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_xsr_epc4_Slot_inst_encode,.0
a0620 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a0640 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d Opcode_rsr_excsave4_encode_fns[]
a0660 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f .=.{...Opcode_rsr_excsave4_Slot_
a0680 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
a06a0 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 ode_encode_fn.Opcode_wsr_excsave
a06c0 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 4_encode_fns[].=.{...Opcode_wsr_
a06e0 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a excsave4_Slot_inst_encode,.0,.0.
a0700 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a0720 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b de_xsr_excsave4_encode_fns[].=.{
a0740 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 ...Opcode_xsr_excsave4_Slot_inst
a0760 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a0780 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 35 5f 65 6e 63 6f 64 65 encode_fn.Opcode_rsr_epc5_encode
a07a0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 35 5f 53 6c 6f _fns[].=.{...Opcode_rsr_epc5_Slo
a07c0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a07e0 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 35 5f pcode_encode_fn.Opcode_wsr_epc5_
a0800 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 encode_fns[].=.{...Opcode_wsr_ep
a0820 63 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 c5_Slot_inst_encode,.0,.0.};..xt
a0840 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 ensa_opcode_encode_fn.Opcode_xsr
a0860 5f 65 70 63 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _epc5_encode_fns[].=.{...Opcode_
a0880 78 73 72 5f 65 70 63 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a xsr_epc5_Slot_inst_encode,.0,.0.
a08a0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a08c0 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b de_rsr_excsave5_encode_fns[].=.{
a08e0 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 ...Opcode_rsr_excsave5_Slot_inst
a0900 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a0920 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 35 5f 65 6e encode_fn.Opcode_wsr_excsave5_en
a0940 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 code_fns[].=.{...Opcode_wsr_excs
a0960 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a ave5_Slot_inst_encode,.0,.0.};..
a0980 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
a09a0 73 72 5f 65 78 63 73 61 76 65 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f sr_excsave5_encode_fns[].=.{...O
a09c0 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 76 65 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_xsr_excsave5_Slot_inst_enc
a09e0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a0a00 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 de_fn.Opcode_rsr_epc6_encode_fns
a0a20 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 36 5f 53 6c 6f 74 5f 69 6e [].=.{...Opcode_rsr_epc6_Slot_in
a0a40 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a0a60 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 36 5f 65 6e 63 6f e_encode_fn.Opcode_wsr_epc6_enco
a0a80 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 36 5f 53 de_fns[].=.{...Opcode_wsr_epc6_S
a0aa0 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
a0ac0 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 _opcode_encode_fn.Opcode_xsr_epc
a0ae0 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6_encode_fns[].=.{...Opcode_xsr_
a0b00 65 70 63 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a epc6_Slot_inst_encode,.0,.0.};..
a0b20 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 xtensa_opcode_encode_fn.Opcode_r
a0b40 73 72 5f 65 78 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f sr_excsave6_encode_fns[].=.{...O
a0b60 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_rsr_excsave6_Slot_inst_enc
a0b80 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a0ba0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 de_fn.Opcode_wsr_excsave6_encode
a0bc0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 36 _fns[].=.{...Opcode_wsr_excsave6
a0be0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a0c00 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 sa_opcode_encode_fn.Opcode_xsr_e
a0c20 78 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 xcsave6_encode_fns[].=.{...Opcod
a0c40 65 5f 78 73 72 5f 65 78 63 73 61 76 65 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c e_xsr_excsave6_Slot_inst_encode,
a0c60 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
a0c80 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d n.Opcode_rsr_epc7_encode_fns[].=
a0ca0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 63 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .{...Opcode_rsr_epc7_Slot_inst_e
a0cc0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
a0ce0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 37 5f 65 6e 63 6f 64 65 5f 66 code_fn.Opcode_wsr_epc7_encode_f
a0d00 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 37 5f 53 6c 6f 74 5f ns[].=.{...Opcode_wsr_epc7_Slot_
a0d20 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
a0d40 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 37 5f 65 6e ode_encode_fn.Opcode_xsr_epc7_en
a0d60 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 37 code_fns[].=.{...Opcode_xsr_epc7
a0d80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a0da0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 sa_opcode_encode_fn.Opcode_rsr_e
a0dc0 78 63 73 61 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 xcsave7_encode_fns[].=.{...Opcod
a0de0 65 5f 72 73 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c e_rsr_excsave7_Slot_inst_encode,
a0e00 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
a0e20 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 n.Opcode_wsr_excsave7_encode_fns
a0e40 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f [].=.{...Opcode_wsr_excsave7_Slo
a0e60 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a0e80 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 73 61 pcode_encode_fn.Opcode_xsr_excsa
a0ea0 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 ve7_encode_fns[].=.{...Opcode_xs
a0ec0 72 5f 65 78 63 73 61 76 65 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 r_excsave7_Slot_inst_encode,.0,.
a0ee0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
a0f00 63 6f 64 65 5f 72 73 72 5f 65 70 73 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 code_rsr_eps2_encode_fns[].=.{..
a0f20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_rsr_eps2_Slot_inst_encod
a0f40 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a0f60 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d _fn.Opcode_wsr_eps2_encode_fns[]
a0f80 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 32 5f 53 6c 6f 74 5f 69 6e 73 74 .=.{...Opcode_wsr_eps2_Slot_inst
a0fa0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a0fc0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 32 5f 65 6e 63 6f 64 65 encode_fn.Opcode_xsr_eps2_encode
a0fe0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 32 5f 53 6c 6f _fns[].=.{...Opcode_xsr_eps2_Slo
a1000 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a1020 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 33 5f pcode_encode_fn.Opcode_rsr_eps3_
a1040 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 encode_fns[].=.{...Opcode_rsr_ep
a1060 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 s3_Slot_inst_encode,.0,.0.};..xt
a1080 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 ensa_opcode_encode_fn.Opcode_wsr
a10a0 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f _eps3_encode_fns[].=.{...Opcode_
a10c0 77 73 72 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a wsr_eps3_Slot_inst_encode,.0,.0.
a10e0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a1100 64 65 5f 78 73 72 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f de_xsr_eps3_encode_fns[].=.{...O
a1120 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c pcode_xsr_eps3_Slot_inst_encode,
a1140 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
a1160 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d n.Opcode_rsr_eps4_encode_fns[].=
a1180 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .{...Opcode_rsr_eps4_Slot_inst_e
a11a0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
a11c0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 34 5f 65 6e 63 6f 64 65 5f 66 code_fn.Opcode_wsr_eps4_encode_f
a11e0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 34 5f 53 6c 6f 74 5f ns[].=.{...Opcode_wsr_eps4_Slot_
a1200 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
a1220 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 34 5f 65 6e ode_encode_fn.Opcode_xsr_eps4_en
a1240 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 34 code_fns[].=.{...Opcode_xsr_eps4
a1260 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a1280 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 sa_opcode_encode_fn.Opcode_rsr_e
a12a0 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 ps5_encode_fns[].=.{...Opcode_rs
a12c0 72 5f 65 70 73 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b r_eps5_Slot_inst_encode,.0,.0.};
a12e0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
a1300 5f 77 73 72 5f 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _wsr_eps5_encode_fns[].=.{...Opc
a1320 6f 64 65 5f 77 73 72 5f 65 70 73 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_wsr_eps5_Slot_inst_encode,.0
a1340 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a1360 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_xsr_eps5_encode_fns[].=.{
a1380 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 35 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_xsr_eps5_Slot_inst_enc
a13a0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a13c0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 de_fn.Opcode_rsr_eps6_encode_fns
a13e0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 36 5f 53 6c 6f 74 5f 69 6e [].=.{...Opcode_rsr_eps6_Slot_in
a1400 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a1420 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 36 5f 65 6e 63 6f e_encode_fn.Opcode_wsr_eps6_enco
a1440 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 36 5f 53 de_fns[].=.{...Opcode_wsr_eps6_S
a1460 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
a1480 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 _opcode_encode_fn.Opcode_xsr_eps
a14a0 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6_encode_fns[].=.{...Opcode_xsr_
a14c0 65 70 73 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a eps6_Slot_inst_encode,.0,.0.};..
a14e0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 xtensa_opcode_encode_fn.Opcode_r
a1500 73 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 sr_eps7_encode_fns[].=.{...Opcod
a1520 65 5f 72 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 e_rsr_eps7_Slot_inst_encode,.0,.
a1540 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
a1560 63 6f 64 65 5f 77 73 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 code_wsr_eps7_encode_fns[].=.{..
a1580 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 .Opcode_wsr_eps7_Slot_inst_encod
a15a0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a15c0 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d _fn.Opcode_xsr_eps7_encode_fns[]
a15e0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 37 5f 53 6c 6f 74 5f 69 6e 73 74 .=.{...Opcode_xsr_eps7_Slot_inst
a1600 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a1620 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e encode_fn.Opcode_rsr_excvaddr_en
a1640 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 76 code_fns[].=.{...Opcode_rsr_excv
a1660 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a addr_Slot_inst_encode,.0,.0.};..
a1680 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 xtensa_opcode_encode_fn.Opcode_w
a16a0 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f sr_excvaddr_encode_fns[].=.{...O
a16c0 70 63 6f 64 65 5f 77 73 72 5f 65 78 63 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 pcode_wsr_excvaddr_Slot_inst_enc
a16e0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a1700 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 de_fn.Opcode_xsr_excvaddr_encode
a1720 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 76 61 64 64 72 _fns[].=.{...Opcode_xsr_excvaddr
a1740 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a1760 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 sa_opcode_encode_fn.Opcode_rsr_d
a1780 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 epc_encode_fns[].=.{...Opcode_rs
a17a0 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b r_depc_Slot_inst_encode,.0,.0.};
a17c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
a17e0 5f 77 73 72 5f 64 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _wsr_depc_encode_fns[].=.{...Opc
a1800 6f 64 65 5f 77 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 ode_wsr_depc_Slot_inst_encode,.0
a1820 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a1840 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_xsr_depc_encode_fns[].=.{
a1860 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 70 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_xsr_depc_Slot_inst_enc
a1880 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a18a0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 de_fn.Opcode_rsr_exccause_encode
a18c0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 63 61 75 73 65 _fns[].=.{...Opcode_rsr_exccause
a18e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a1900 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 sa_opcode_encode_fn.Opcode_wsr_e
a1920 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 xccause_encode_fns[].=.{...Opcod
a1940 65 5f 77 73 72 5f 65 78 63 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c e_wsr_exccause_Slot_inst_encode,
a1960 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
a1980 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 n.Opcode_xsr_exccause_encode_fns
a19a0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 53 6c 6f [].=.{...Opcode_xsr_exccause_Slo
a19c0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a19e0 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 30 pcode_encode_fn.Opcode_rsr_misc0
a1a00 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d _encode_fns[].=.{...Opcode_rsr_m
a1a20 69 73 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a isc0_Slot_inst_encode,.0,.0.};..
a1a40 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 xtensa_opcode_encode_fn.Opcode_w
a1a60 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f sr_misc0_encode_fns[].=.{...Opco
a1a80 64 65 5f 77 73 72 5f 6d 69 73 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 de_wsr_misc0_Slot_inst_encode,.0
a1aa0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a1ac0 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 Opcode_xsr_misc0_encode_fns[].=.
a1ae0 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 69 73 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 {...Opcode_xsr_misc0_Slot_inst_e
a1b00 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
a1b20 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 31 5f 65 6e 63 6f 64 65 5f code_fn.Opcode_rsr_misc1_encode_
a1b40 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 31 5f 53 6c 6f fns[].=.{...Opcode_rsr_misc1_Slo
a1b60 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a1b80 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 69 73 63 31 pcode_encode_fn.Opcode_wsr_misc1
a1ba0 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d _encode_fns[].=.{...Opcode_wsr_m
a1bc0 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a isc1_Slot_inst_encode,.0,.0.};..
a1be0 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
a1c00 73 72 5f 6d 69 73 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f sr_misc1_encode_fns[].=.{...Opco
a1c20 64 65 5f 78 73 72 5f 6d 69 73 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 de_xsr_misc1_Slot_inst_encode,.0
a1c40 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a1c60 4f 70 63 6f 64 65 5f 72 73 72 5f 70 72 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b Opcode_rsr_prid_encode_fns[].=.{
a1c80 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 70 72 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 ...Opcode_rsr_prid_Slot_inst_enc
a1ca0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a1cc0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 65 6e 63 6f 64 65 5f de_fn.Opcode_rsr_vecbase_encode_
a1ce0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 53 fns[].=.{...Opcode_rsr_vecbase_S
a1d00 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
a1d20 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 76 65 63 _opcode_encode_fn.Opcode_wsr_vec
a1d40 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 base_encode_fns[].=.{...Opcode_w
a1d60 73 72 5f 76 65 63 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 sr_vecbase_Slot_inst_encode,.0,.
a1d80 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
a1da0 63 6f 64 65 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 code_xsr_vecbase_encode_fns[].=.
a1dc0 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 76 65 63 62 61 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 {...Opcode_xsr_vecbase_Slot_inst
a1de0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a1e00 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 75 5f 65 6e 63 6f 64 65 5f 66 encode_fn.Opcode_mul16u_encode_f
a1e20 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 75 5f 53 6c 6f 74 5f 69 6e ns[].=.{...Opcode_mul16u_Slot_in
a1e40 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a1e60 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 73 5f 65 6e 63 6f 64 65 e_encode_fn.Opcode_mul16s_encode
a1e80 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 73 5f 53 6c 6f 74 5f _fns[].=.{...Opcode_mul16s_Slot_
a1ea0 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
a1ec0 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 6c 5f 65 6e 63 6f 64 65 ode_encode_fn.Opcode_mull_encode
a1ee0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 6c 5f 53 6c 6f 74 5f 69 6e _fns[].=.{...Opcode_mull_Slot_in
a1f00 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a1f20 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 6c 5f 65 6e 63 e_encode_fn.Opcode_mul_aa_ll_enc
a1f40 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 6c ode_fns[].=.{...Opcode_mul_aa_ll
a1f60 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a1f80 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 sa_opcode_encode_fn.Opcode_mul_a
a1fa0 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d a_hl_encode_fns[].=.{...Opcode_m
a1fc0 75 6c 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ul_aa_hl_Slot_inst_encode,.0,.0.
a1fe0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a2000 64 65 5f 6d 75 6c 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_mul_aa_lh_encode_fns[].=.{...
a2020 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_aa_lh_Slot_inst_encod
a2040 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a2060 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_mul_aa_hh_encode_fns[
a2080 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_mul_aa_hh_Slot_in
a20a0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a20c0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 6c 5f 65 6e e_encode_fn.Opcode_umul_aa_ll_en
a20e0 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f code_fns[].=.{...Opcode_umul_aa_
a2100 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ll_Slot_inst_encode,.0,.0.};..xt
a2120 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 75 6d 75 ensa_opcode_encode_fn.Opcode_umu
a2140 6c 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 l_aa_hl_encode_fns[].=.{...Opcod
a2160 65 5f 75 6d 75 6c 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 e_umul_aa_hl_Slot_inst_encode,.0
a2180 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a21a0 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d Opcode_umul_aa_lh_encode_fns[].=
a21c0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 .{...Opcode_umul_aa_lh_Slot_inst
a21e0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a2200 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 68 68 5f 65 6e 63 6f encode_fn.Opcode_umul_aa_hh_enco
a2220 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 68 68 de_fns[].=.{...Opcode_umul_aa_hh
a2240 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a2260 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 sa_opcode_encode_fn.Opcode_mul_a
a2280 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d d_ll_encode_fns[].=.{...Opcode_m
a22a0 75 6c 5f 61 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ul_ad_ll_Slot_inst_encode,.0,.0.
a22c0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a22e0 64 65 5f 6d 75 6c 5f 61 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_mul_ad_hl_encode_fns[].=.{...
a2300 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_ad_hl_Slot_inst_encod
a2320 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a2340 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_mul_ad_lh_encode_fns[
a2360 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_mul_ad_lh_Slot_in
a2380 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a23a0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 68 5f 65 6e 63 e_encode_fn.Opcode_mul_ad_hh_enc
a23c0 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 68 ode_fns[].=.{...Opcode_mul_ad_hh
a23e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a2400 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 sa_opcode_encode_fn.Opcode_mul_d
a2420 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d a_ll_encode_fns[].=.{...Opcode_m
a2440 75 6c 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ul_da_ll_Slot_inst_encode,.0,.0.
a2460 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a2480 64 65 5f 6d 75 6c 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_mul_da_hl_encode_fns[].=.{...
a24a0 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_da_hl_Slot_inst_encod
a24c0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a24e0 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_mul_da_lh_encode_fns[
a2500 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_mul_da_lh_Slot_in
a2520 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a2540 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 68 5f 65 6e 63 e_encode_fn.Opcode_mul_da_hh_enc
a2560 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 68 ode_fns[].=.{...Opcode_mul_da_hh
a2580 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a25a0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 sa_opcode_encode_fn.Opcode_mul_d
a25c0 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d d_ll_encode_fns[].=.{...Opcode_m
a25e0 75 6c 5f 64 64 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a ul_dd_ll_Slot_inst_encode,.0,.0.
a2600 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a2620 64 65 5f 6d 75 6c 5f 64 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_mul_dd_hl_encode_fns[].=.{...
a2640 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_mul_dd_hl_Slot_inst_encod
a2660 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a2680 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_mul_dd_lh_encode_fns[
a26a0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_mul_dd_lh_Slot_in
a26c0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a26e0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 68 5f 65 6e 63 e_encode_fn.Opcode_mul_dd_hh_enc
a2700 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 68 ode_fns[].=.{...Opcode_mul_dd_hh
a2720 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a2740 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f sa_opcode_encode_fn.Opcode_mula_
a2760 61 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f aa_ll_encode_fns[].=.{...Opcode_
a2780 6d 75 6c 61 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 mula_aa_ll_Slot_inst_encode,.0,.
a27a0 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
a27c0 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b code_mula_aa_hl_encode_fns[].=.{
a27e0 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ...Opcode_mula_aa_hl_Slot_inst_e
a2800 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
a2820 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 code_fn.Opcode_mula_aa_lh_encode
a2840 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 68 5f 53 _fns[].=.{...Opcode_mula_aa_lh_S
a2860 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
a2880 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 _opcode_encode_fn.Opcode_mula_aa
a28a0 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 _hh_encode_fns[].=.{...Opcode_mu
a28c0 6c 61 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a la_aa_hh_Slot_inst_encode,.0,.0.
a28e0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a2900 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 de_muls_aa_ll_encode_fns[].=.{..
a2920 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_muls_aa_ll_Slot_inst_enc
a2940 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a2960 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 de_fn.Opcode_muls_aa_hl_encode_f
a2980 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 6c 5f 53 6c 6f ns[].=.{...Opcode_muls_aa_hl_Slo
a29a0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a29c0 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c pcode_encode_fn.Opcode_muls_aa_l
a29e0 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 h_encode_fns[].=.{...Opcode_muls
a2a00 5f 61 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _aa_lh_Slot_inst_encode,.0,.0.};
a2a20 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
a2a40 5f 6d 75 6c 73 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f _muls_aa_hh_encode_fns[].=.{...O
a2a60 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_muls_aa_hh_Slot_inst_encod
a2a80 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a2aa0 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 _fn.Opcode_mula_ad_ll_encode_fns
a2ac0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 6c 5f 53 6c 6f 74 5f [].=.{...Opcode_mula_ad_ll_Slot_
a2ae0 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
a2b00 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 6c 5f ode_encode_fn.Opcode_mula_ad_hl_
a2b20 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 encode_fns[].=.{...Opcode_mula_a
a2b40 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a d_hl_Slot_inst_encode,.0,.0.};..
a2b60 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d xtensa_opcode_encode_fn.Opcode_m
a2b80 75 6c 61 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 ula_ad_lh_encode_fns[].=.{...Opc
a2ba0 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ode_mula_ad_lh_Slot_inst_encode,
a2bc0 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
a2be0 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d n.Opcode_mula_ad_hh_encode_fns[]
a2c00 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e .=.{...Opcode_mula_ad_hh_Slot_in
a2c20 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a2c40 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 6c 5f 65 6e e_encode_fn.Opcode_muls_ad_ll_en
a2c60 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f code_fns[].=.{...Opcode_muls_ad_
a2c80 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ll_Slot_inst_encode,.0,.0.};..xt
a2ca0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c ensa_opcode_encode_fn.Opcode_mul
a2cc0 73 5f 61 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 s_ad_hl_encode_fns[].=.{...Opcod
a2ce0 65 5f 6d 75 6c 73 5f 61 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 e_muls_ad_hl_Slot_inst_encode,.0
a2d00 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a2d20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d Opcode_muls_ad_lh_encode_fns[].=
a2d40 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 .{...Opcode_muls_ad_lh_Slot_inst
a2d60 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a2d80 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 68 68 5f 65 6e 63 6f encode_fn.Opcode_muls_ad_hh_enco
a2da0 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 68 68 de_fns[].=.{...Opcode_muls_ad_hh
a2dc0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a2de0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f sa_opcode_encode_fn.Opcode_mula_
a2e00 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f da_ll_encode_fns[].=.{...Opcode_
a2e20 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 mula_da_ll_Slot_inst_encode,.0,.
a2e40 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
a2e60 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b code_mula_da_hl_encode_fns[].=.{
a2e80 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ...Opcode_mula_da_hl_Slot_inst_e
a2ea0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
a2ec0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 65 6e 63 6f 64 65 code_fn.Opcode_mula_da_lh_encode
a2ee0 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 53 _fns[].=.{...Opcode_mula_da_lh_S
a2f00 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
a2f20 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 _opcode_encode_fn.Opcode_mula_da
a2f40 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 _hh_encode_fns[].=.{...Opcode_mu
a2f60 6c 61 5f 64 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a la_da_hh_Slot_inst_encode,.0,.0.
a2f80 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a2fa0 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 de_muls_da_ll_encode_fns[].=.{..
a2fc0 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .Opcode_muls_da_ll_Slot_inst_enc
a2fe0 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a3000 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 de_fn.Opcode_muls_da_hl_encode_f
a3020 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 6c 5f 53 6c 6f ns[].=.{...Opcode_muls_da_hl_Slo
a3040 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a3060 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 6c pcode_encode_fn.Opcode_muls_da_l
a3080 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 h_encode_fns[].=.{...Opcode_muls
a30a0 5f 64 61 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _da_lh_Slot_inst_encode,.0,.0.};
a30c0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
a30e0 5f 6d 75 6c 73 5f 64 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f _muls_da_hh_encode_fns[].=.{...O
a3100 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 5f 68 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 pcode_muls_da_hh_Slot_inst_encod
a3120 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a3140 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 _fn.Opcode_mula_dd_ll_encode_fns
a3160 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 53 6c 6f 74 5f [].=.{...Opcode_mula_dd_ll_Slot_
a3180 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
a31a0 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f ode_encode_fn.Opcode_mula_dd_hl_
a31c0 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 encode_fns[].=.{...Opcode_mula_d
a31e0 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a d_hl_Slot_inst_encode,.0,.0.};..
a3200 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d xtensa_opcode_encode_fn.Opcode_m
a3220 75 6c 61 5f 64 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 ula_dd_lh_encode_fns[].=.{...Opc
a3240 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c ode_mula_dd_lh_Slot_inst_encode,
a3260 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
a3280 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d n.Opcode_mula_dd_hh_encode_fns[]
a32a0 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 53 6c 6f 74 5f 69 6e .=.{...Opcode_mula_dd_hh_Slot_in
a32c0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a32e0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 6c 5f 65 6e e_encode_fn.Opcode_muls_dd_ll_en
a3300 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f code_fns[].=.{...Opcode_muls_dd_
a3320 6c 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ll_Slot_inst_encode,.0,.0.};..xt
a3340 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c ensa_opcode_encode_fn.Opcode_mul
a3360 73 5f 64 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 s_dd_hl_encode_fns[].=.{...Opcod
a3380 65 5f 6d 75 6c 73 5f 64 64 5f 68 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 e_muls_dd_hl_Slot_inst_encode,.0
a33a0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a33c0 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d Opcode_muls_dd_lh_encode_fns[].=
a33e0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 68 5f 53 6c 6f 74 5f 69 6e 73 74 .{...Opcode_muls_dd_lh_Slot_inst
a3400 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a3420 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 68 5f 65 6e 63 6f encode_fn.Opcode_muls_dd_hh_enco
a3440 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 68 de_fns[].=.{...Opcode_muls_dd_hh
a3460 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a3480 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f sa_opcode_encode_fn.Opcode_mula_
a34a0 64 61 5f 6c 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f da_ll_lddec_encode_fns[].=.{...O
a34c0 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 pcode_mula_da_ll_lddec_Slot_inst
a34e0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a3500 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 6c 64 69 6e encode_fn.Opcode_mula_da_ll_ldin
a3520 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 c_encode_fns[].=.{...Opcode_mula
a3540 5f 64 61 5f 6c 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 _da_ll_ldinc_Slot_inst_encode,.0
a3560 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a3580 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 Opcode_mula_da_hl_lddec_encode_f
a35a0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 64 ns[].=.{...Opcode_mula_da_hl_ldd
a35c0 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 ec_Slot_inst_encode,.0,.0.};..xt
a35e0 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c ensa_opcode_encode_fn.Opcode_mul
a3600 61 5f 64 61 5f 68 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 a_da_hl_ldinc_encode_fns[].=.{..
a3620 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e .Opcode_mula_da_hl_ldinc_Slot_in
a3640 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a3660 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 e_encode_fn.Opcode_mula_da_lh_ld
a3680 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 dec_encode_fns[].=.{...Opcode_mu
a36a0 6c 61 5f 64 61 5f 6c 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c la_da_lh_lddec_Slot_inst_encode,
a36c0 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
a36e0 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 n.Opcode_mula_da_lh_ldinc_encode
a3700 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c _fns[].=.{...Opcode_mula_da_lh_l
a3720 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a dinc_Slot_inst_encode,.0,.0.};..
a3740 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d xtensa_opcode_encode_fn.Opcode_m
a3760 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b ula_da_hh_lddec_encode_fns[].=.{
a3780 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f ...Opcode_mula_da_hh_lddec_Slot_
a37a0 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 inst_encode,.0,.0.};..xtensa_opc
a37c0 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 68 5f ode_encode_fn.Opcode_mula_da_hh_
a37e0 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f ldinc_encode_fns[].=.{...Opcode_
a3800 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 mula_da_hh_ldinc_Slot_inst_encod
a3820 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a3840 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f _fn.Opcode_mula_dd_ll_lddec_enco
a3860 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c de_fns[].=.{...Opcode_mula_dd_ll
a3880 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b _lddec_Slot_inst_encode,.0,.0.};
a38a0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
a38c0 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d _mula_dd_ll_ldinc_encode_fns[].=
a38e0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 69 6e 63 5f 53 6c 6f .{...Opcode_mula_dd_ll_ldinc_Slo
a3900 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a3920 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 pcode_encode_fn.Opcode_mula_dd_h
a3940 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 l_lddec_encode_fns[].=.{...Opcod
a3960 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 e_mula_dd_hl_lddec_Slot_inst_enc
a3980 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a39a0 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 69 6e 63 5f 65 6e de_fn.Opcode_mula_dd_hl_ldinc_en
a39c0 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f code_fns[].=.{...Opcode_mula_dd_
a39e0 68 6c 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a hl_ldinc_Slot_inst_encode,.0,.0.
a3a00 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a3a20 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d de_mula_dd_lh_lddec_encode_fns[]
a3a40 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 64 65 63 5f 53 .=.{...Opcode_mula_dd_lh_lddec_S
a3a60 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
a3a80 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 _opcode_encode_fn.Opcode_mula_dd
a3aa0 5f 6c 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 _lh_ldinc_encode_fns[].=.{...Opc
a3ac0 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 69 6e 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 ode_mula_dd_lh_ldinc_Slot_inst_e
a3ae0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
a3b00 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 64 65 63 5f code_fn.Opcode_mula_dd_hh_lddec_
a3b20 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 encode_fns[].=.{...Opcode_mula_d
a3b40 64 5f 68 68 5f 6c 64 64 65 63 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 d_hh_lddec_Slot_inst_encode,.0,.
a3b60 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
a3b80 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_mula_dd_hh_ldinc_encode_fns
a3ba0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 69 6e 63 [].=.{...Opcode_mula_dd_hh_ldinc
a3bc0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a3be0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 64 64 65 63 sa_opcode_encode_fn.Opcode_lddec
a3c00 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 64 64 65 63 _encode_fns[].=.{...Opcode_lddec
a3c20 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a3c40 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 64 69 6e 63 sa_opcode_encode_fn.Opcode_ldinc
a3c60 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 64 69 6e 63 _encode_fns[].=.{...Opcode_ldinc
a3c80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a3ca0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d sa_opcode_encode_fn.Opcode_rsr_m
a3cc0 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 0_encode_fns[].=.{...Opcode_rsr_
a3ce0 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 m0_Slot_inst_encode,.0,.0.};..xt
a3d00 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 ensa_opcode_encode_fn.Opcode_wsr
a3d20 5f 6d 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 _m0_encode_fns[].=.{...Opcode_ws
a3d40 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a r_m0_Slot_inst_encode,.0,.0.};..
a3d60 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 xtensa_opcode_encode_fn.Opcode_x
a3d80 73 72 5f 6d 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f sr_m0_encode_fns[].=.{...Opcode_
a3da0 78 73 72 5f 6d 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b xsr_m0_Slot_inst_encode,.0,.0.};
a3dc0 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 ..xtensa_opcode_encode_fn.Opcode
a3de0 5f 72 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 _rsr_m1_encode_fns[].=.{...Opcod
a3e00 65 5f 72 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a e_rsr_m1_Slot_inst_encode,.0,.0.
a3e20 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a3e40 64 65 5f 77 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 de_wsr_m1_encode_fns[].=.{...Opc
a3e60 6f 64 65 5f 77 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 ode_wsr_m1_Slot_inst_encode,.0,.
a3e80 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 0.};..xtensa_opcode_encode_fn.Op
a3ea0 63 6f 64 65 5f 78 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f code_xsr_m1_encode_fns[].=.{...O
a3ec0 70 63 6f 64 65 5f 78 73 72 5f 6d 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 pcode_xsr_m1_Slot_inst_encode,.0
a3ee0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a3f00 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 Opcode_rsr_m2_encode_fns[].=.{..
a3f20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c .Opcode_rsr_m2_Slot_inst_encode,
a3f40 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 .0,.0.};..xtensa_opcode_encode_f
a3f60 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b n.Opcode_wsr_m2_encode_fns[].=.{
a3f80 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 ...Opcode_wsr_m2_Slot_inst_encod
a3fa0 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a3fc0 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d _fn.Opcode_xsr_m2_encode_fns[].=
a3fe0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 .{...Opcode_xsr_m2_Slot_inst_enc
a4000 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f ode,.0,.0.};..xtensa_opcode_enco
a4020 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d de_fn.Opcode_rsr_m3_encode_fns[]
a4040 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 .=.{...Opcode_rsr_m3_Slot_inst_e
a4060 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
a4080 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 code_fn.Opcode_wsr_m3_encode_fns
a40a0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 33 5f 53 6c 6f 74 5f 69 6e 73 74 [].=.{...Opcode_wsr_m3_Slot_inst
a40c0 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f _encode,.0,.0.};..xtensa_opcode_
a40e0 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 encode_fn.Opcode_xsr_m3_encode_f
a4100 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 33 5f 53 6c 6f 74 5f 69 6e ns[].=.{...Opcode_xsr_m3_Slot_in
a4120 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a4140 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 e_encode_fn.Opcode_rsr_acclo_enc
a4160 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 6c 6f ode_fns[].=.{...Opcode_rsr_acclo
a4180 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a41a0 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 sa_opcode_encode_fn.Opcode_wsr_a
a41c0 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 cclo_encode_fns[].=.{...Opcode_w
a41e0 73 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a sr_acclo_Slot_inst_encode,.0,.0.
a4200 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a4220 64 65 5f 78 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 de_xsr_acclo_encode_fns[].=.{...
a4240 4f 70 63 6f 64 65 5f 78 73 72 5f 61 63 63 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 Opcode_xsr_acclo_Slot_inst_encod
a4260 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 e,.0,.0.};..xtensa_opcode_encode
a4280 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b _fn.Opcode_rsr_acchi_encode_fns[
a42a0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 63 63 68 69 5f 53 6c 6f 74 5f 69 6e ].=.{...Opcode_rsr_acchi_Slot_in
a42c0 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 st_encode,.0,.0.};..xtensa_opcod
a42e0 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 63 63 68 69 5f 65 6e 63 e_encode_fn.Opcode_wsr_acchi_enc
a4300 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 63 63 68 69 ode_fns[].=.{...Opcode_wsr_acchi
a4320 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e _Slot_inst_encode,.0,.0.};..xten
a4340 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 sa_opcode_encode_fn.Opcode_xsr_a
a4360 63 63 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 cchi_encode_fns[].=.{...Opcode_x
a4380 73 72 5f 61 63 63 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a sr_acchi_Slot_inst_encode,.0,.0.
a43a0 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f };..xtensa_opcode_encode_fn.Opco
a43c0 64 65 5f 72 66 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 de_rfi_encode_fns[].=.{...Opcode
a43e0 5f 72 66 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a _rfi_Slot_inst_encode,.0,.0.};..
a4400 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 xtensa_opcode_encode_fn.Opcode_w
a4420 61 69 74 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 aiti_encode_fns[].=.{...Opcode_w
a4440 61 69 74 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a aiti_Slot_inst_encode,.0,.0.};..
a4460 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 xtensa_opcode_encode_fn.Opcode_r
a4480 73 72 5f 69 6e 74 65 72 72 75 70 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 sr_interrupt_encode_fns[].=.{...
a44a0 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 Opcode_rsr_interrupt_Slot_inst_e
a44c0 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e ncode,.0,.0.};..xtensa_opcode_en
a44e0 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 73 65 74 5f 65 6e 63 6f 64 65 code_fn.Opcode_wsr_intset_encode
a4500 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 73 65 74 5f 53 _fns[].=.{...Opcode_wsr_intset_S
a4520 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 lot_inst_encode,.0,.0.};..xtensa
a4540 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 _opcode_encode_fn.Opcode_wsr_int
a4560 63 6c 65 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f clear_encode_fns[].=.{...Opcode_
a4580 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 wsr_intclear_Slot_inst_encode,.0
a45a0 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a45c0 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b Opcode_rsr_intenable_encode_fns[
a45e0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f ].=.{...Opcode_rsr_intenable_Slo
a4600 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a4620 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 65 6e pcode_encode_fn.Opcode_wsr_inten
a4640 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 able_encode_fns[].=.{...Opcode_w
a4660 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 sr_intenable_Slot_inst_encode,.0
a4680 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 ,.0.};..xtensa_opcode_encode_fn.
a46a0 4f 70 63 6f 64 65 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b Opcode_xsr_intenable_encode_fns[
a46c0 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 53 6c 6f ].=.{...Opcode_xsr_intenable_Slo
a46e0 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a4700 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 72 65 61 6b 5f 65 6e 63 pcode_encode_fn.Opcode_break_enc
a4720 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 62 72 65 61 6b 5f 53 6c 6f ode_fns[].=.{...Opcode_break_Slo
a4740 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f t_inst_encode,.0,.0.};..xtensa_o
a4760 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 62 72 65 61 6b 5f 6e 5f 65 pcode_encode_fn.Opcode_break_n_e
a4780 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 30 2c 20 30 2c 20 4f 70 63 6f 64 65 5f 62 ncode_fns[].=.{...0,.0,.Opcode_b
a47a0 72 65 61 6b 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 65 6e 63 6f 64 65 0a 7d 3b 0a 0a 78 reak_n_Slot_inst16b_encode.};..x
a47c0 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 tensa_opcode_encode_fn.Opcode_rs
a47e0 72 5f 64 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 r_dbreaka0_encode_fns[].=.{...Op
a4800 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_rsr_dbreaka0_Slot_inst_enco
a4820 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a4840 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f e_fn.Opcode_wsr_dbreaka0_encode_
a4860 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 30 5f fns[].=.{...Opcode_wsr_dbreaka0_
a4880 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
a48a0 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 a_opcode_encode_fn.Opcode_xsr_db
a48c0 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 reaka0_encode_fns[].=.{...Opcode
a48e0 5f 78 73 72 5f 64 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 _xsr_dbreaka0_Slot_inst_encode,.
a4900 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a4920 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b .Opcode_rsr_dbreakc0_encode_fns[
a4940 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 ].=.{...Opcode_rsr_dbreakc0_Slot
a4960 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
a4980 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b code_encode_fn.Opcode_wsr_dbreak
a49a0 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 c0_encode_fns[].=.{...Opcode_wsr
a49c0 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 _dbreakc0_Slot_inst_encode,.0,.0
a49e0 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a4a00 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 ode_xsr_dbreakc0_encode_fns[].=.
a4a20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 63 30 5f 53 6c 6f 74 5f 69 6e 73 {...Opcode_xsr_dbreakc0_Slot_ins
a4a40 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
a4a60 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 _encode_fn.Opcode_rsr_dbreaka1_e
a4a80 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 ncode_fns[].=.{...Opcode_rsr_dbr
a4aa0 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a eaka1_Slot_inst_encode,.0,.0.};.
a4ac0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a4ae0 77 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 wsr_dbreaka1_encode_fns[].=.{...
a4b00 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e Opcode_wsr_dbreaka1_Slot_inst_en
a4b20 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
a4b40 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 ode_fn.Opcode_xsr_dbreaka1_encod
a4b60 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 e_fns[].=.{...Opcode_xsr_dbreaka
a4b80 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 1_Slot_inst_encode,.0,.0.};..xte
a4ba0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f nsa_opcode_encode_fn.Opcode_rsr_
a4bc0 64 62 72 65 61 6b 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f dbreakc1_encode_fns[].=.{...Opco
a4be0 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 de_rsr_dbreakc1_Slot_inst_encode
a4c00 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
a4c20 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 65 6e 63 6f 64 65 5f 66 6e fn.Opcode_wsr_dbreakc1_encode_fn
a4c40 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 31 5f 53 6c s[].=.{...Opcode_wsr_dbreakc1_Sl
a4c60 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a4c80 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 opcode_encode_fn.Opcode_xsr_dbre
a4ca0 61 6b 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 akc1_encode_fns[].=.{...Opcode_x
a4cc0 73 72 5f 64 62 72 65 61 6b 63 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c sr_dbreakc1_Slot_inst_encode,.0,
a4ce0 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
a4d00 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 pcode_rsr_ibreaka0_encode_fns[].
a4d20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 =.{...Opcode_rsr_ibreaka0_Slot_i
a4d40 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
a4d60 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 de_encode_fn.Opcode_wsr_ibreaka0
a4d80 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 _encode_fns[].=.{...Opcode_wsr_i
a4da0 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d breaka0_Slot_inst_encode,.0,.0.}
a4dc0 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 ;..xtensa_opcode_encode_fn.Opcod
a4de0 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a e_xsr_ibreaka0_encode_fns[].=.{.
a4e00 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f ..Opcode_xsr_ibreaka0_Slot_inst_
a4e20 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
a4e40 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 5f 65 6e 63 ncode_fn.Opcode_rsr_ibreaka1_enc
a4e60 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 ode_fns[].=.{...Opcode_rsr_ibrea
a4e80 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 ka1_Slot_inst_encode,.0,.0.};..x
a4ea0 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 tensa_opcode_encode_fn.Opcode_ws
a4ec0 72 5f 69 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 r_ibreaka1_encode_fns[].=.{...Op
a4ee0 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_wsr_ibreaka1_Slot_inst_enco
a4f00 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a4f20 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f e_fn.Opcode_xsr_ibreaka1_encode_
a4f40 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 31 5f fns[].=.{...Opcode_xsr_ibreaka1_
a4f60 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
a4f80 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 a_opcode_encode_fn.Opcode_rsr_ib
a4fa0 72 65 61 6b 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 reakenable_encode_fns[].=.{...Op
a4fc0 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f code_rsr_ibreakenable_Slot_inst_
a4fe0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
a5000 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 ncode_fn.Opcode_wsr_ibreakenable
a5020 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 _encode_fns[].=.{...Opcode_wsr_i
a5040 62 72 65 61 6b 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c breakenable_Slot_inst_encode,.0,
a5060 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
a5080 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e pcode_xsr_ibreakenable_encode_fn
a50a0 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c s[].=.{...Opcode_xsr_ibreakenabl
a50c0 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 e_Slot_inst_encode,.0,.0.};..xte
a50e0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f nsa_opcode_encode_fn.Opcode_rsr_
a5100 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 debugcause_encode_fns[].=.{...Op
a5120 63 6f 64 65 5f 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e code_rsr_debugcause_Slot_inst_en
a5140 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
a5160 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 ode_fn.Opcode_wsr_debugcause_enc
a5180 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 65 62 75 67 ode_fns[].=.{...Opcode_wsr_debug
a51a0 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a cause_Slot_inst_encode,.0,.0.};.
a51c0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a51e0 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a xsr_debugcause_encode_fns[].=.{.
a5200 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 53 6c 6f 74 5f 69 6e 73 ..Opcode_xsr_debugcause_Slot_ins
a5220 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
a5240 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 5f 65 6e 63 _encode_fn.Opcode_rsr_icount_enc
a5260 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e ode_fns[].=.{...Opcode_rsr_icoun
a5280 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 t_Slot_inst_encode,.0,.0.};..xte
a52a0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f nsa_opcode_encode_fn.Opcode_wsr_
a52c0 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 icount_encode_fns[].=.{...Opcode
a52e0 5f 77 73 72 5f 69 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c _wsr_icount_Slot_inst_encode,.0,
a5300 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
a5320 70 63 6f 64 65 5f 78 73 72 5f 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 pcode_xsr_icount_encode_fns[].=.
a5340 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f {...Opcode_xsr_icount_Slot_inst_
a5360 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
a5380 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f ncode_fn.Opcode_rsr_icountlevel_
a53a0 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 63 encode_fns[].=.{...Opcode_rsr_ic
a53c0 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 ountlevel_Slot_inst_encode,.0,.0
a53e0 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a5400 6f 64 65 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d ode_wsr_icountlevel_encode_fns[]
a5420 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c .=.{...Opcode_wsr_icountlevel_Sl
a5440 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a5460 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 63 6f 75 opcode_encode_fn.Opcode_xsr_icou
a5480 6e 74 6c 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 ntlevel_encode_fns[].=.{...Opcod
a54a0 65 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f e_xsr_icountlevel_Slot_inst_enco
a54c0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a54e0 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d e_fn.Opcode_rsr_ddr_encode_fns[]
a5500 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f .=.{...Opcode_rsr_ddr_Slot_inst_
a5520 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
a5540 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 64 72 5f 65 6e 63 6f 64 65 5f 66 ncode_fn.Opcode_wsr_ddr_encode_f
a5560 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 64 72 5f 53 6c 6f 74 5f 69 ns[].=.{...Opcode_wsr_ddr_Slot_i
a5580 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
a55a0 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 64 72 5f 65 6e 63 6f de_encode_fn.Opcode_xsr_ddr_enco
a55c0 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 64 72 5f 53 6c de_fns[].=.{...Opcode_xsr_ddr_Sl
a55e0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a5600 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 64 6f 5f 65 6e 63 opcode_encode_fn.Opcode_rfdo_enc
a5620 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 66 64 6f 5f 53 6c 6f 74 ode_fns[].=.{...Opcode_rfdo_Slot
a5640 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
a5660 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 66 64 64 5f 65 6e 63 6f 64 code_encode_fn.Opcode_rfdd_encod
a5680 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 66 64 64 5f 53 6c 6f 74 5f 69 e_fns[].=.{...Opcode_rfdd_Slot_i
a56a0 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
a56c0 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 6d 69 64 5f 65 6e 63 de_encode_fn.Opcode_wsr_mmid_enc
a56e0 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 6d 69 64 5f ode_fns[].=.{...Opcode_wsr_mmid_
a5700 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
a5720 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 a_opcode_encode_fn.Opcode_rsr_cc
a5740 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 ount_encode_fns[].=.{...Opcode_r
a5760 73 72 5f 63 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 sr_ccount_Slot_inst_encode,.0,.0
a5780 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a57a0 6f 64 65 5f 77 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a ode_wsr_ccount_encode_fns[].=.{.
a57c0 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 75 6e 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ..Opcode_wsr_ccount_Slot_inst_en
a57e0 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
a5800 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f ode_fn.Opcode_xsr_ccount_encode_
a5820 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 75 6e 74 5f 53 6c fns[].=.{...Opcode_xsr_ccount_Sl
a5840 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a5860 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_rsr_ccom
a5880 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare0_encode_fns[].=.{...Opcode_
a58a0 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 rsr_ccompare0_Slot_inst_encode,.
a58c0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a58e0 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_wsr_ccompare0_encode_fns
a5900 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c [].=.{...Opcode_wsr_ccompare0_Sl
a5920 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a5940 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_xsr_ccom
a5960 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare0_encode_fns[].=.{...Opcode_
a5980 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 xsr_ccompare0_Slot_inst_encode,.
a59a0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a59c0 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_rsr_ccompare1_encode_fns
a59e0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c [].=.{...Opcode_rsr_ccompare1_Sl
a5a00 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a5a20 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_wsr_ccom
a5a40 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare1_encode_fns[].=.{...Opcode_
a5a60 77 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 wsr_ccompare1_Slot_inst_encode,.
a5a80 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a5aa0 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_xsr_ccompare1_encode_fns
a5ac0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 53 6c [].=.{...Opcode_xsr_ccompare1_Sl
a5ae0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a5b00 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_rsr_ccom
a5b20 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare2_encode_fns[].=.{...Opcode_
a5b40 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 rsr_ccompare2_Slot_inst_encode,.
a5b60 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a5b80 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_wsr_ccompare2_encode_fns
a5ba0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c [].=.{...Opcode_wsr_ccompare2_Sl
a5bc0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a5be0 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 6d opcode_encode_fn.Opcode_xsr_ccom
a5c00 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pare2_encode_fns[].=.{...Opcode_
a5c20 78 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 xsr_ccompare2_Slot_inst_encode,.
a5c40 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a5c60 20 4f 70 63 6f 64 65 5f 69 70 66 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f .Opcode_ipf_encode_fns[].=.{...O
a5c80 70 63 6f 64 65 5f 69 70 66 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 pcode_ipf_Slot_inst_encode,.0,.0
a5ca0 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a5cc0 6f 64 65 5f 69 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 ode_ihi_encode_fns[].=.{...Opcod
a5ce0 65 5f 69 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a e_ihi_Slot_inst_encode,.0,.0.};.
a5d00 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a5d20 69 70 66 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 ipfl_encode_fns[].=.{...Opcode_i
a5d40 70 66 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 pfl_Slot_inst_encode,.0,.0.};..x
a5d60 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 68 tensa_opcode_encode_fn.Opcode_ih
a5d80 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 68 75 5f u_encode_fns[].=.{...Opcode_ihu_
a5da0 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
a5dc0 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 69 75 5f 65 6e a_opcode_encode_fn.Opcode_iiu_en
a5de0 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 69 75 5f 53 6c 6f 74 code_fns[].=.{...Opcode_iiu_Slot
a5e00 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
a5e20 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 69 69 5f 65 6e 63 6f 64 65 code_encode_fn.Opcode_iii_encode
a5e40 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 69 69 5f 53 6c 6f 74 5f 69 6e 73 _fns[].=.{...Opcode_iii_Slot_ins
a5e60 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
a5e80 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 69 63 74 5f 65 6e 63 6f 64 65 5f 66 6e _encode_fn.Opcode_lict_encode_fn
a5ea0 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 69 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f s[].=.{...Opcode_lict_Slot_inst_
a5ec0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
a5ee0 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 69 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b ncode_fn.Opcode_licw_encode_fns[
a5f00 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 69 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e ].=.{...Opcode_licw_Slot_inst_en
a5f20 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
a5f40 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 69 63 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 ode_fn.Opcode_sict_encode_fns[].
a5f60 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 69 63 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f =.{...Opcode_sict_Slot_inst_enco
a5f80 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a5fa0 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 69 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 e_fn.Opcode_sicw_encode_fns[].=.
a5fc0 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 69 63 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 {...Opcode_sicw_Slot_inst_encode
a5fe0 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
a6000 66 6e 20 4f 70 63 6f 64 65 5f 64 68 77 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a fn.Opcode_dhwb_encode_fns[].=.{.
a6020 20 20 4f 70 63 6f 64 65 5f 64 68 77 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 ..Opcode_dhwb_Slot_inst_encode,.
a6040 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a6060 20 4f 70 63 6f 64 65 5f 64 68 77 62 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 .Opcode_dhwbi_encode_fns[].=.{..
a6080 20 4f 70 63 6f 64 65 5f 64 68 77 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 .Opcode_dhwbi_Slot_inst_encode,.
a60a0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a60c0 20 4f 70 63 6f 64 65 5f 64 69 77 62 75 69 5f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 .Opcode_diwbui_p_encode_fns[].=.
a60e0 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 75 69 5f 70 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e {...Opcode_diwbui_p_Slot_inst_en
a6100 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
a6120 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 69 77 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 ode_fn.Opcode_diwb_encode_fns[].
a6140 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f =.{...Opcode_diwb_Slot_inst_enco
a6160 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a6180 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 69 77 62 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d e_fn.Opcode_diwbi_encode_fns[].=
a61a0 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .{...Opcode_diwbi_Slot_inst_enco
a61c0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a61e0 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b e_fn.Opcode_dhi_encode_fns[].=.{
a6200 0a 20 20 4f 70 63 6f 64 65 5f 64 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 ...Opcode_dhi_Slot_inst_encode,.
a6220 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a6240 20 4f 70 63 6f 64 65 5f 64 69 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f .Opcode_dii_encode_fns[].=.{...O
a6260 70 63 6f 64 65 5f 64 69 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 pcode_dii_Slot_inst_encode,.0,.0
a6280 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a62a0 6f 64 65 5f 64 70 66 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f ode_dpfr_encode_fns[].=.{...Opco
a62c0 64 65 5f 64 70 66 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d de_dpfr_Slot_inst_encode,.0,.0.}
a62e0 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 ;..xtensa_opcode_encode_fn.Opcod
a6300 65 5f 64 70 66 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 e_dpfw_encode_fns[].=.{...Opcode
a6320 5f 64 70 66 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a _dpfw_Slot_inst_encode,.0,.0.};.
a6340 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a6360 64 70 66 72 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f dpfro_encode_fns[].=.{...Opcode_
a6380 64 70 66 72 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a dpfro_Slot_inst_encode,.0,.0.};.
a63a0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a63c0 64 70 66 77 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f dpfwo_encode_fns[].=.{...Opcode_
a63e0 64 70 66 77 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a dpfwo_Slot_inst_encode,.0,.0.};.
a6400 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a6420 64 70 66 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 dpfl_encode_fns[].=.{...Opcode_d
a6440 70 66 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 pfl_Slot_inst_encode,.0,.0.};..x
a6460 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 68 tensa_opcode_encode_fn.Opcode_dh
a6480 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 68 75 5f u_encode_fns[].=.{...Opcode_dhu_
a64a0 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
a64c0 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 64 69 75 5f 65 6e a_opcode_encode_fn.Opcode_diu_en
a64e0 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 64 69 75 5f 53 6c 6f 74 code_fns[].=.{...Opcode_diu_Slot
a6500 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
a6520 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 64 63 74 5f 65 6e 63 6f 64 code_encode_fn.Opcode_sdct_encod
a6540 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 73 64 63 74 5f 53 6c 6f 74 5f 69 e_fns[].=.{...Opcode_sdct_Slot_i
a6560 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
a6580 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6c 64 63 74 5f 65 6e 63 6f 64 65 5f de_encode_fn.Opcode_ldct_encode_
a65a0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6c 64 63 74 5f 53 6c 6f 74 5f 69 6e 73 fns[].=.{...Opcode_ldct_Slot_ins
a65c0 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
a65e0 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 70 74 65 76 61 64 64 72 5f 65 _encode_fn.Opcode_wsr_ptevaddr_e
a6600 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 70 74 65 ncode_fns[].=.{...Opcode_wsr_pte
a6620 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a vaddr_Slot_inst_encode,.0,.0.};.
a6640 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a6660 72 73 72 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 rsr_ptevaddr_encode_fns[].=.{...
a6680 4f 70 63 6f 64 65 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e Opcode_rsr_ptevaddr_Slot_inst_en
a66a0 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
a66c0 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 ode_fn.Opcode_xsr_ptevaddr_encod
a66e0 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 70 74 65 76 61 64 64 e_fns[].=.{...Opcode_xsr_ptevadd
a6700 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 r_Slot_inst_encode,.0,.0.};..xte
a6720 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f nsa_opcode_encode_fn.Opcode_rsr_
a6740 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f rasid_encode_fns[].=.{...Opcode_
a6760 72 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 rsr_rasid_Slot_inst_encode,.0,.0
a6780 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a67a0 6f 64 65 5f 77 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 ode_wsr_rasid_encode_fns[].=.{..
a67c0 20 4f 70 63 6f 64 65 5f 77 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .Opcode_wsr_rasid_Slot_inst_enco
a67e0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a6800 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 e_fn.Opcode_xsr_rasid_encode_fns
a6820 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 72 61 73 69 64 5f 53 6c 6f 74 5f 69 [].=.{...Opcode_xsr_rasid_Slot_i
a6840 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
a6860 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f de_encode_fn.Opcode_rsr_itlbcfg_
a6880 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 74 encode_fns[].=.{...Opcode_rsr_it
a68a0 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a lbcfg_Slot_inst_encode,.0,.0.};.
a68c0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a68e0 77 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f wsr_itlbcfg_encode_fns[].=.{...O
a6900 70 63 6f 64 65 5f 77 73 72 5f 69 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f pcode_wsr_itlbcfg_Slot_inst_enco
a6920 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a6940 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 e_fn.Opcode_xsr_itlbcfg_encode_f
a6960 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 53 6c ns[].=.{...Opcode_xsr_itlbcfg_Sl
a6980 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a69a0 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 74 6c 62 opcode_encode_fn.Opcode_rsr_dtlb
a69c0 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 cfg_encode_fns[].=.{...Opcode_rs
a69e0 72 5f 64 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 r_dtlbcfg_Slot_inst_encode,.0,.0
a6a00 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a6a20 6f 64 65 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b ode_wsr_dtlbcfg_encode_fns[].=.{
a6a40 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f ...Opcode_wsr_dtlbcfg_Slot_inst_
a6a60 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
a6a80 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 65 6e 63 6f ncode_fn.Opcode_xsr_dtlbcfg_enco
a6aa0 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 74 6c 62 63 66 de_fns[].=.{...Opcode_xsr_dtlbcf
a6ac0 67 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 g_Slot_inst_encode,.0,.0.};..xte
a6ae0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 69 64 74 6c nsa_opcode_encode_fn.Opcode_idtl
a6b00 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 69 64 74 6c b_encode_fns[].=.{...Opcode_idtl
a6b20 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 b_Slot_inst_encode,.0,.0.};..xte
a6b40 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 70 64 74 6c nsa_opcode_encode_fn.Opcode_pdtl
a6b60 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 70 64 74 6c b_encode_fns[].=.{...Opcode_pdtl
a6b80 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 b_Slot_inst_encode,.0,.0.};..xte
a6ba0 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 64 74 6c nsa_opcode_encode_fn.Opcode_rdtl
a6bc0 62 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 64 74 b0_encode_fns[].=.{...Opcode_rdt
a6be0 6c 62 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 lb0_Slot_inst_encode,.0,.0.};..x
a6c00 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 64 tensa_opcode_encode_fn.Opcode_rd
a6c20 74 6c 62 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 tlb1_encode_fns[].=.{...Opcode_r
a6c40 64 74 6c 62 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a dtlb1_Slot_inst_encode,.0,.0.};.
a6c60 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a6c80 77 64 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f wdtlb_encode_fns[].=.{...Opcode_
a6ca0 77 64 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a wdtlb_Slot_inst_encode,.0,.0.};.
a6cc0 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a6ce0 69 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f iitlb_encode_fns[].=.{...Opcode_
a6d00 69 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a iitlb_Slot_inst_encode,.0,.0.};.
a6d20 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a6d40 70 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f pitlb_encode_fns[].=.{...Opcode_
a6d60 70 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a pitlb_Slot_inst_encode,.0,.0.};.
a6d80 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f .xtensa_opcode_encode_fn.Opcode_
a6da0 72 69 74 6c 62 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 ritlb0_encode_fns[].=.{...Opcode
a6dc0 5f 72 69 74 6c 62 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d _ritlb0_Slot_inst_encode,.0,.0.}
a6de0 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 ;..xtensa_opcode_encode_fn.Opcod
a6e00 65 5f 72 69 74 6c 62 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f e_ritlb1_encode_fns[].=.{...Opco
a6e20 64 65 5f 72 69 74 6c 62 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 de_ritlb1_Slot_inst_encode,.0,.0
a6e40 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a6e60 6f 64 65 5f 77 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 ode_witlb_encode_fns[].=.{...Opc
a6e80 6f 64 65 5f 77 69 74 6c 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 ode_witlb_Slot_inst_encode,.0,.0
a6ea0 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a6ec0 6f 64 65 5f 6c 64 70 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 ode_ldpte_encode_fns[].=.{...Opc
a6ee0 6f 64 65 5f 6c 64 70 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 ode_ldpte_Slot_inst_encode,.0,.0
a6f00 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 .};..xtensa_opcode_encode_fn.Opc
a6f20 6f 64 65 5f 68 77 77 69 74 6c 62 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 ode_hwwitlba_encode_fns[].=.{...
a6f40 4f 70 63 6f 64 65 5f 68 77 77 69 74 6c 62 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 Opcode_hwwitlba_Slot_inst_encode
a6f60 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
a6f80 66 6e 20 4f 70 63 6f 64 65 5f 68 77 77 64 74 6c 62 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 fn.Opcode_hwwdtlba_encode_fns[].
a6fa0 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 68 77 77 64 74 6c 62 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.{...Opcode_hwwdtlba_Slot_inst_
a6fc0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
a6fe0 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 ncode_fn.Opcode_rsr_cpenable_enc
a7000 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 70 65 6e 61 ode_fns[].=.{...Opcode_rsr_cpena
a7020 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 ble_Slot_inst_encode,.0,.0.};..x
a7040 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 tensa_opcode_encode_fn.Opcode_ws
a7060 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 r_cpenable_encode_fns[].=.{...Op
a7080 63 6f 64 65 5f 77 73 72 5f 63 70 65 6e 61 62 6c 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f code_wsr_cpenable_Slot_inst_enco
a70a0 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a70c0 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f e_fn.Opcode_xsr_cpenable_encode_
a70e0 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f fns[].=.{...Opcode_xsr_cpenable_
a7100 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
a7120 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 63 6c 61 6d 70 73 a_opcode_encode_fn.Opcode_clamps
a7140 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 6c 61 6d 70 _encode_fns[].=.{...Opcode_clamp
a7160 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 s_Slot_inst_encode,.0,.0.};..xte
a7180 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 69 6e 5f nsa_opcode_encode_fn.Opcode_min_
a71a0 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 69 6e 5f 53 6c encode_fns[].=.{...Opcode_min_Sl
a71c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a71e0 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 61 78 5f 65 6e 63 6f opcode_encode_fn.Opcode_max_enco
a7200 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 61 78 5f 53 6c 6f 74 5f 69 de_fns[].=.{...Opcode_max_Slot_i
a7220 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f nst_encode,.0,.0.};..xtensa_opco
a7240 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 69 6e 75 5f 65 6e 63 6f 64 65 5f de_encode_fn.Opcode_minu_encode_
a7260 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 69 6e 75 5f 53 6c 6f 74 5f 69 6e 73 fns[].=.{...Opcode_minu_Slot_ins
a7280 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
a72a0 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6d 61 78 75 5f 65 6e 63 6f 64 65 5f 66 6e _encode_fn.Opcode_maxu_encode_fn
a72c0 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6d 61 78 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f s[].=.{...Opcode_maxu_Slot_inst_
a72e0 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 encode,.0,.0.};..xtensa_opcode_e
a7300 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6e 73 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d ncode_fn.Opcode_nsa_encode_fns[]
a7320 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 6e 73 61 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f .=.{...Opcode_nsa_Slot_inst_enco
a7340 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 de,.0,.0.};..xtensa_opcode_encod
a7360 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 6e 73 61 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 e_fn.Opcode_nsau_encode_fns[].=.
a7380 7b 0a 20 20 4f 70 63 6f 64 65 5f 6e 73 61 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 {...Opcode_nsau_Slot_inst_encode
a73a0 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
a73c0 66 6e 20 4f 70 63 6f 64 65 5f 73 65 78 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a fn.Opcode_sext_encode_fns[].=.{.
a73e0 20 20 4f 70 63 6f 64 65 5f 73 65 78 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 ..Opcode_sext_Slot_inst_encode,.
a7400 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a7420 20 4f 70 63 6f 64 65 5f 6c 33 32 61 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 .Opcode_l32ai_encode_fns[].=.{..
a7440 20 4f 70 63 6f 64 65 5f 6c 33 32 61 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 .Opcode_l32ai_Slot_inst_encode,.
a7460 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a7480 20 4f 70 63 6f 64 65 5f 73 33 32 72 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 .Opcode_s32ri_encode_fns[].=.{..
a74a0 20 4f 70 63 6f 64 65 5f 73 33 32 72 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 .Opcode_s32ri_Slot_inst_encode,.
a74c0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a74e0 20 4f 70 63 6f 64 65 5f 73 33 32 63 31 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a .Opcode_s32c1i_encode_fns[].=.{.
a7500 20 20 4f 70 63 6f 64 65 5f 73 33 32 63 31 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 ..Opcode_s32c1i_Slot_inst_encode
a7520 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
a7540 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 fn.Opcode_rsr_scompare1_encode_f
a7560 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f ns[].=.{...Opcode_rsr_scompare1_
a7580 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
a75a0 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 73 72 5f 73 63 a_opcode_encode_fn.Opcode_wsr_sc
a75c0 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 ompare1_encode_fns[].=.{...Opcod
a75e0 65 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 e_wsr_scompare1_Slot_inst_encode
a7600 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
a7620 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 fn.Opcode_xsr_scompare1_encode_f
a7640 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f ns[].=.{...Opcode_xsr_scompare1_
a7660 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
a7680 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 74 a_opcode_encode_fn.Opcode_rsr_at
a76a0 6f 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f omctl_encode_fns[].=.{...Opcode_
a76c0 72 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c rsr_atomctl_Slot_inst_encode,.0,
a76e0 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f .0.};..xtensa_opcode_encode_fn.O
a7700 70 63 6f 64 65 5f 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d pcode_wsr_atomctl_encode_fns[].=
a7720 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 74 6f 6d 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 .{...Opcode_wsr_atomctl_Slot_ins
a7740 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
a7760 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 74 6f 6d 63 74 6c 5f 65 6e _encode_fn.Opcode_xsr_atomctl_en
a7780 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 74 6f 6d code_fns[].=.{...Opcode_xsr_atom
a77a0 63 74 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 ctl_Slot_inst_encode,.0,.0.};..x
a77c0 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 71 75 tensa_opcode_encode_fn.Opcode_qu
a77e0 6f 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 71 75 6f ou_encode_fns[].=.{...Opcode_quo
a7800 75 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 u_Slot_inst_encode,.0,.0.};..xte
a7820 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 71 75 6f 73 nsa_opcode_encode_fn.Opcode_quos
a7840 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 71 75 6f 73 5f _encode_fns[].=.{...Opcode_quos_
a7860 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 Slot_inst_encode,.0,.0.};..xtens
a7880 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 6d 75 5f 65 a_opcode_encode_fn.Opcode_remu_e
a78a0 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 6d 75 5f 53 6c ncode_fns[].=.{...Opcode_remu_Sl
a78c0 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a78e0 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 6d 73 5f 65 6e 63 opcode_encode_fn.Opcode_rems_enc
a7900 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 6d 73 5f 53 6c 6f 74 ode_fns[].=.{...Opcode_rems_Slot
a7920 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 _inst_encode,.0,.0.};..xtensa_op
a7940 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 65 72 5f 65 6e 63 6f 64 65 code_encode_fn.Opcode_rer_encode
a7960 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 72 5f 53 6c 6f 74 5f 69 6e 73 _fns[].=.{...Opcode_rer_Slot_ins
a7980 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 t_encode,.0,.0.};..xtensa_opcode
a79a0 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 65 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 _encode_fn.Opcode_wer_encode_fns
a79c0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 77 65 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e [].=.{...Opcode_wer_Slot_inst_en
a79e0 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 code,.0,.0.};..xtensa_opcode_enc
a7a00 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 ode_fn.Opcode_rur_expstate_encod
a7a20 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 75 72 5f 65 78 70 73 74 61 74 e_fns[].=.{...Opcode_rur_expstat
a7a40 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 e_Slot_inst_encode,.0,.0.};..xte
a7a60 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 75 72 5f nsa_opcode_encode_fn.Opcode_wur_
a7a80 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f expstate_encode_fns[].=.{...Opco
a7aa0 64 65 5f 77 75 72 5f 65 78 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 de_wur_expstate_Slot_inst_encode
a7ac0 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f ,.0,.0.};..xtensa_opcode_encode_
a7ae0 66 6e 20 4f 70 63 6f 64 65 5f 72 65 61 64 5f 69 6d 70 77 69 72 65 5f 65 6e 63 6f 64 65 5f 66 6e fn.Opcode_read_impwire_encode_fn
a7b00 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 72 65 61 64 5f 69 6d 70 77 69 72 65 5f 53 6c s[].=.{...Opcode_read_impwire_Sl
a7b20 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a7b40 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 73 65 74 62 5f 65 78 70 opcode_encode_fn.Opcode_setb_exp
a7b60 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f state_encode_fns[].=.{...Opcode_
a7b80 73 65 74 62 5f 65 78 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 setb_expstate_Slot_inst_encode,.
a7ba0 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 0,.0.};..xtensa_opcode_encode_fn
a7bc0 20 4f 70 63 6f 64 65 5f 63 6c 72 62 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_clrb_expstate_encode_fns
a7be0 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 5f 63 6c 72 62 5f 65 78 70 73 74 61 74 65 5f 53 6c [].=.{...Opcode_clrb_expstate_Sl
a7c00 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 78 74 65 6e 73 61 5f ot_inst_encode,.0,.0.};..xtensa_
a7c20 6f 70 63 6f 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 20 4f 70 63 6f 64 65 5f 77 72 6d 73 6b 5f 65 78 opcode_encode_fn.Opcode_wrmsk_ex
a7c40 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 4f 70 63 6f 64 65 pstate_encode_fns[].=.{...Opcode
a7c60 5f 77 72 6d 73 6b 5f 65 78 70 73 74 61 74 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 65 6e 63 6f 64 65 _wrmsk_expstate_Slot_inst_encode
a7c80 2c 20 30 2c 20 30 0a 7d 3b 0a 0a 69 6e 74 20 6e 75 6d 5f 62 79 70 61 73 73 5f 67 72 6f 75 70 73 ,.0,.0.};..int.num_bypass_groups
a7ca0 28 29 20 7b 0a 20 20 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 69 6e 74 20 6e 75 6d 5f 62 79 ().{.....return.0;.}..int.num_by
a7cc0 70 61 73 73 5f 67 72 6f 75 70 5f 63 68 75 6e 6b 73 28 29 20 7b 0a 20 20 20 20 72 65 74 75 72 6e pass_group_chunks().{.....return
a7ce0 20 30 3b 0a 7d 0a 0a 75 69 6e 74 33 32 20 2a 62 79 70 61 73 73 5f 65 6e 74 72 79 28 69 6e 74 20 .0;.}..uint32.*bypass_entry(int.
a7d00 69 29 20 7b 0a 20 20 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 0c 0a 2f 2a 20 4f 70 63 6f 64 i).{.....return.0;.}..../*.Opcod
a7d20 65 20 74 61 62 6c 65 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 6f 70 63 6f e.table...*/..static.xtensa_opco
a7d40 64 65 5f 69 6e 74 65 72 6e 61 6c 20 6f 70 63 6f 64 65 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 22 65 de_internal.opcodes[].=.{...{."e
a7d60 78 63 77 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 65 78 63 77 2c 0a 20 20 20 xcw",.ICLASS_xt_iclass_excw,....
a7d80 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 65 78 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 .0,.....Opcode_excw_encode_fns,.
a7da0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 0,.0.},...{."rfe",.ICLASS_xt_icl
a7dc0 61 73 73 5f 72 66 65 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 ass_rfe,.....XTENSA_OPCODE_IS_JU
a7de0 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 MP,.....Opcode_rfe_encode_fns,.0
a7e00 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 64 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ,.0.},...{."rfde",.ICLASS_xt_icl
a7e20 61 73 73 5f 72 66 64 65 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a ass_rfde,.....XTENSA_OPCODE_IS_J
a7e40 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 64 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c UMP,.....Opcode_rfde_encode_fns,
a7e60 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 79 73 63 61 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 .0,.0.},...{."syscall",.ICLASS_x
a7e80 74 5f 69 63 6c 61 73 73 5f 73 79 73 63 61 6c 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 t_iclass_syscall,.....0,.....Opc
a7ea0 6f 64 65 5f 73 79 73 63 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a ode_syscall_encode_fns,.0,.0.},.
a7ec0 20 20 7b 20 22 63 61 6c 6c 31 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 ..{."call12",.ICLASS_xt_iclass_c
a7ee0 61 6c 6c 31 32 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 43 41 4c 4c all12,.....XTENSA_OPCODE_IS_CALL
a7f00 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 31 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ,.....Opcode_call12_encode_fns,.
a7f20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 38 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 0,.0.},...{."call8",.ICLASS_xt_i
a7f40 63 6c 61 73 73 5f 63 61 6c 6c 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 class_call8,.....XTENSA_OPCODE_I
a7f60 53 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 38 5f 65 6e 63 6f 64 65 5f S_CALL,.....Opcode_call8_encode_
a7f80 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 34 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."call4",.ICLASS
a7fa0 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 34 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 _xt_iclass_call4,.....XTENSA_OPC
a7fc0 4f 44 45 5f 49 53 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 34 5f 65 6e ODE_IS_CALL,.....Opcode_call4_en
a7fe0 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 78 31 32 22 2c code_fns,.0,.0.},...{."callx12",
a8000 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 31 32 2c 0a 20 20 20 20 58 .ICLASS_xt_iclass_callx12,.....X
a8020 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 TENSA_OPCODE_IS_CALL,.....Opcode
a8040 5f 63 61 6c 6c 78 31 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b _callx12_encode_fns,.0,.0.},...{
a8060 20 22 63 61 6c 6c 78 38 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c ."callx8",.ICLASS_xt_iclass_call
a8080 78 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 43 41 4c 4c 2c 0a 20 x8,.....XTENSA_OPCODE_IS_CALL,..
a80a0 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ...Opcode_callx8_encode_fns,.0,.
a80c0 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 78 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 0.},...{."callx4",.ICLASS_xt_icl
a80e0 61 73 73 5f 63 61 6c 6c 78 34 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 ass_callx4,.....XTENSA_OPCODE_IS
a8100 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 34 5f 65 6e 63 6f 64 65 5f _CALL,.....Opcode_callx4_encode_
a8120 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 65 6e 74 72 79 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."entry",.ICLASS
a8140 5f 78 74 5f 69 63 6c 61 73 73 5f 65 6e 74 72 79 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _xt_iclass_entry,.....0,.....Opc
a8160 6f 64 65 5f 65 6e 74 72 79 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 ode_entry_encode_fns,.0,.0.},...
a8180 7b 20 22 6d 6f 76 73 70 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 73 {."movsp",.ICLASS_xt_iclass_movs
a81a0 70 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 73 70 5f 65 6e 63 6f 64 p,.....0,.....Opcode_movsp_encod
a81c0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 6f 74 77 22 2c 20 49 43 4c 41 53 e_fns,.0,.0.},...{."rotw",.ICLAS
a81e0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 6f 74 77 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 S_xt_iclass_rotw,.....0,.....Opc
a8200 6f 64 65 5f 72 6f 74 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b ode_rotw_encode_fns,.0,.0.},...{
a8220 20 22 72 65 74 77 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 77 2c 0a ."retw",.ICLASS_xt_iclass_retw,.
a8240 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f ....XTENSA_OPCODE_IS_JUMP,.....O
a8260 70 63 6f 64 65 5f 72 65 74 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 pcode_retw_encode_fns,.0,.0.},..
a8280 20 7b 20 22 72 65 74 77 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 .{."retw.n",.ICLASS_xt_iclass_re
a82a0 74 77 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 tw,.....XTENSA_OPCODE_IS_JUMP,..
a82c0 20 20 20 4f 70 63 6f 64 65 5f 72 65 74 77 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ...Opcode_retw_n_encode_fns,.0,.
a82e0 30 20 7d 2c 0a 20 20 7b 20 22 72 66 77 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 0.},...{."rfwo",.ICLASS_xt_iclas
a8300 73 5f 72 66 77 6f 75 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 s_rfwou,.....XTENSA_OPCODE_IS_JU
a8320 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 77 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 MP,.....Opcode_rfwo_encode_fns,.
a8340 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 77 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 0,.0.},...{."rfwu",.ICLASS_xt_ic
a8360 6c 61 73 73 5f 72 66 77 6f 75 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 lass_rfwou,.....XTENSA_OPCODE_IS
a8380 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 77 75 5f 65 6e 63 6f 64 65 5f 66 6e _JUMP,.....Opcode_rfwu_encode_fn
a83a0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 33 32 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 s,.0,.0.},...{."l32e",.ICLASS_xt
a83c0 5f 69 63 6c 61 73 73 5f 6c 33 32 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f _iclass_l32e,.....0,.....Opcode_
a83e0 6c 33 32 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 l32e_encode_fns,.0,.0.},...{."s3
a8400 32 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 65 2c 0a 20 20 20 20 2e",.ICLASS_xt_iclass_s32e,.....
a8420 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 33 32 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 0,.....Opcode_s32e_encode_fns,.0
a8440 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 77 69 6e 64 6f 77 62 61 73 65 22 2c 20 49 43 4c ,.0.},...{."rsr.windowbase",.ICL
a8460 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 ASS_xt_iclass_rsr_windowbase,...
a8480 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 ..0,.....Opcode_rsr_windowbase_e
a84a0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 77 69 6e 64 ncode_fns,.0,.0.},...{."wsr.wind
a84c0 6f 77 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 77 69 owbase",.ICLASS_xt_iclass_wsr_wi
a84e0 6e 64 6f 77 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f ndowbase,.....0,.....Opcode_wsr_
a8500 77 69 6e 64 6f 77 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 windowbase_encode_fns,.0,.0.},..
a8520 20 7b 20 22 78 73 72 2e 77 69 6e 64 6f 77 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .{."xsr.windowbase",.ICLASS_xt_i
a8540 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 class_xsr_windowbase,.....0,....
a8560 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e .Opcode_xsr_windowbase_encode_fn
a8580 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 77 69 6e 64 6f 77 73 74 61 72 74 22 s,.0,.0.},...{."rsr.windowstart"
a85a0 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 77 69 6e 64 6f 77 73 74 61 ,.ICLASS_xt_iclass_rsr_windowsta
a85c0 72 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 77 69 6e 64 6f 77 rt,.....0,.....Opcode_rsr_window
a85e0 73 74 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 start_encode_fns,.0,.0.},...{."w
a8600 73 72 2e 77 69 6e 64 6f 77 73 74 61 72 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 sr.windowstart",.ICLASS_xt_iclas
a8620 73 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 s_wsr_windowstart,.....0,.....Op
a8640 63 6f 64 65 5f 77 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c code_wsr_windowstart_encode_fns,
a8660 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 77 69 6e 64 6f 77 73 74 61 72 74 22 2c 20 .0,.0.},...{."xsr.windowstart",.
a8680 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 61 72 74 ICLASS_xt_iclass_xsr_windowstart
a86a0 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 77 69 6e 64 6f 77 73 74 ,.....0,.....Opcode_xsr_windowst
a86c0 61 72 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 art_encode_fns,.0,.0.},...{."add
a86e0 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 5f 6e 2c 0a 20 20 20 .n",.ICLASS_xt_iclass_add_n,....
a8700 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c .0,.....Opcode_add_n_encode_fns,
a8720 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 69 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 .0,.0.},...{."addi.n",.ICLASS_xt
a8740 5f 69 63 6c 61 73 73 5f 61 64 64 69 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 _iclass_addi_n,.....0,.....Opcod
a8760 65 5f 61 64 64 69 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b e_addi_n_encode_fns,.0,.0.},...{
a8780 20 22 62 65 71 7a 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 7a 36 2c ."beqz.n",.ICLASS_xt_iclass_bz6,
a87a0 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 .....XTENSA_OPCODE_IS_BRANCH,...
a87c0 20 20 4f 70 63 6f 64 65 5f 62 65 71 7a 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ..Opcode_beqz_n_encode_fns,.0,.0
a87e0 20 7d 2c 0a 20 20 7b 20 22 62 6e 65 7a 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 .},...{."bnez.n",.ICLASS_xt_icla
a8800 73 73 5f 62 7a 36 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 ss_bz6,.....XTENSA_OPCODE_IS_BRA
a8820 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6e 65 7a 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e NCH,.....Opcode_bnez_n_encode_fn
a8840 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 6c 6c 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 s,.0,.0.},...{."ill.n",.ICLASS_x
a8860 74 5f 69 63 6c 61 73 73 5f 69 6c 6c 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 t_iclass_ill_n,.....0,.....Opcod
a8880 65 5f 69 6c 6c 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 e_ill_n_encode_fns,.0,.0.},...{.
a88a0 22 6c 33 32 69 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 61 64 69 "l32i.n",.ICLASS_xt_iclass_loadi
a88c0 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 33 32 69 5f 6e 5f 65 6e 63 6f 4,.....0,.....Opcode_l32i_n_enco
a88e0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 2e 6e 22 2c 20 49 43 4c de_fns,.0,.0.},...{."mov.n",.ICL
a8900 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 ASS_xt_iclass_mov_n,.....0,.....
a8920 4f 70 63 6f 64 65 5f 6d 6f 76 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c Opcode_mov_n_encode_fns,.0,.0.},
a8940 0a 20 20 7b 20 22 6d 6f 76 69 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ...{."movi.n",.ICLASS_xt_iclass_
a8960 6d 6f 76 69 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 69 5f 6e movi_n,.....0,.....Opcode_movi_n
a8980 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6e 6f 70 2e 6e 22 _encode_fns,.0,.0.},...{."nop.n"
a89a0 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 6e 2c 0a 20 20 20 20 30 2c 0a ,.ICLASS_xt_iclass_nopn,.....0,.
a89c0 20 20 20 20 4f 70 63 6f 64 65 5f 6e 6f 70 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ....Opcode_nop_n_encode_fns,.0,.
a89e0 30 20 7d 2c 0a 20 20 7b 20 22 72 65 74 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 0.},...{."ret.n",.ICLASS_xt_icla
a8a00 73 73 5f 72 65 74 6e 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 ss_retn,.....XTENSA_OPCODE_IS_JU
a8a20 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 65 74 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c MP,.....Opcode_ret_n_encode_fns,
a8a40 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 69 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 .0,.0.},...{."s32i.n",.ICLASS_xt
a8a60 5f 69 63 6c 61 73 73 5f 73 74 6f 72 65 69 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f _iclass_storei4,.....0,.....Opco
a8a80 64 65 5f 73 33 32 69 5f 6e 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 de_s32i_n_encode_fns,.0,.0.},...
a8aa0 7b 20 22 72 75 72 2e 74 68 72 65 61 64 70 74 72 22 2c 20 49 43 4c 41 53 53 5f 72 75 72 5f 74 68 {."rur.threadptr",.ICLASS_rur_th
a8ac0 72 65 61 64 70 74 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 75 72 5f 74 readptr,.....0,.....Opcode_rur_t
a8ae0 68 72 65 61 64 70 74 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b hreadptr_encode_fns,.0,.0.},...{
a8b00 20 22 77 75 72 2e 74 68 72 65 61 64 70 74 72 22 2c 20 49 43 4c 41 53 53 5f 77 75 72 5f 74 68 72 ."wur.threadptr",.ICLASS_wur_thr
a8b20 65 61 64 70 74 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 75 72 5f 74 68 eadptr,.....0,.....Opcode_wur_th
a8b40 72 65 61 64 70 74 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 readptr_encode_fns,.0,.0.},...{.
a8b60 22 61 64 64 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 69 2c 0a 20 "addi",.ICLASS_xt_iclass_addi,..
a8b80 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 ...0,.....Opcode_addi_encode_fns
a8ba0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 6d 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 ,.0,.0.},...{."addmi",.ICLASS_xt
a8bc0 5f 69 63 6c 61 73 73 5f 61 64 64 6d 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 _iclass_addmi,.....0,.....Opcode
a8be0 5f 61 64 64 6d 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 _addmi_encode_fns,.0,.0.},...{."
a8c00 61 64 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 add",.ICLASS_xt_iclass_addsub,..
a8c20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_add_encode_fns,
a8c40 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 75 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 .0,.0.},...{."sub",.ICLASS_xt_ic
a8c60 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 lass_addsub,.....0,.....Opcode_s
a8c80 75 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 78 ub_encode_fns,.0,.0.},...{."addx
a8ca0 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 2",.ICLASS_xt_iclass_addsub,....
a8cc0 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 78 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c .0,.....Opcode_addx2_encode_fns,
a8ce0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 64 64 78 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .0,.0.},...{."addx4",.ICLASS_xt_
a8d00 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 iclass_addsub,.....0,.....Opcode
a8d20 5f 61 64 64 78 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 _addx4_encode_fns,.0,.0.},...{."
a8d40 61 64 64 78 38 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c addx8",.ICLASS_xt_iclass_addsub,
a8d60 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 64 64 78 38 5f 65 6e 63 6f 64 65 5f .....0,.....Opcode_addx8_encode_
a8d80 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 75 62 78 32 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."subx2",.ICLASS
a8da0 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _xt_iclass_addsub,.....0,.....Op
a8dc0 63 6f 64 65 5f 73 75 62 78 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 code_subx2_encode_fns,.0,.0.},..
a8de0 20 7b 20 22 73 75 62 78 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 .{."subx4",.ICLASS_xt_iclass_add
a8e00 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 75 62 78 34 5f 65 6e 63 sub,.....0,.....Opcode_subx4_enc
a8e20 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 75 62 78 38 22 2c 20 49 43 ode_fns,.0,.0.},...{."subx8",.IC
a8e40 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 61 64 64 73 75 62 2c 0a 20 20 20 20 30 2c 0a 20 20 LASS_xt_iclass_addsub,.....0,...
a8e60 20 20 4f 70 63 6f 64 65 5f 73 75 62 78 38 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_subx8_encode_fns,.0,.0.
a8e80 7d 2c 0a 20 20 7b 20 22 61 6e 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 },...{."and",.ICLASS_xt_iclass_b
a8ea0 69 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 6e 64 5f 65 6e 63 6f 64 65 it,.....0,.....Opcode_and_encode
a8ec0 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6f 72 22 2c 20 49 43 4c 41 53 53 5f 78 _fns,.0,.0.},...{."or",.ICLASS_x
a8ee0 74 5f 69 63 6c 61 73 73 5f 62 69 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f t_iclass_bit,.....0,.....Opcode_
a8f00 6f 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 6f 72 22 or_encode_fns,.0,.0.},...{."xor"
a8f20 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 69 74 2c 0a 20 20 20 20 30 2c 0a 20 ,.ICLASS_xt_iclass_bit,.....0,..
a8f40 20 20 20 4f 70 63 6f 64 65 5f 78 6f 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d ...Opcode_xor_encode_fns,.0,.0.}
a8f60 2c 0a 20 20 7b 20 22 62 65 71 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 ,...{."beqi",.ICLASS_xt_iclass_b
a8f80 73 69 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 si8,.....XTENSA_OPCODE_IS_BRANCH
a8fa0 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 65 71 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ,.....Opcode_beqi_encode_fns,.0,
a8fc0 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6e 65 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 .0.},...{."bnei",.ICLASS_xt_icla
a8fe0 73 73 5f 62 73 69 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 ss_bsi8,.....XTENSA_OPCODE_IS_BR
a9000 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6e 65 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 ANCH,.....Opcode_bnei_encode_fns
a9020 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 67 65 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f ,.0,.0.},...{."bgei",.ICLASS_xt_
a9040 69 63 6c 61 73 73 5f 62 73 69 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 iclass_bsi8,.....XTENSA_OPCODE_I
a9060 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 67 65 69 5f 65 6e 63 6f 64 65 S_BRANCH,.....Opcode_bgei_encode
a9080 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6c 74 69 22 2c 20 49 43 4c 41 53 53 _fns,.0,.0.},...{."blti",.ICLASS
a90a0 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f _xt_iclass_bsi8,.....XTENSA_OPCO
a90c0 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6c 74 69 5f 65 6e DE_IS_BRANCH,.....Opcode_blti_en
a90e0 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 63 69 22 2c 20 49 43 code_fns,.0,.0.},...{."bbci",.IC
a9100 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 2c 0a 20 20 20 20 58 54 45 4e 53 41 LASS_xt_iclass_bsi8b,.....XTENSA
a9120 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 62 _OPCODE_IS_BRANCH,.....Opcode_bb
a9140 63 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 73 69 ci_encode_fns,.0,.0.},...{."bbsi
a9160 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 62 2c 0a 20 20 20 20 58 ",.ICLASS_xt_iclass_bsi8b,.....X
a9180 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f TENSA_OPCODE_IS_BRANCH,.....Opco
a91a0 64 65 5f 62 62 73 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 de_bbsi_encode_fns,.0,.0.},...{.
a91c0 22 62 67 65 75 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 69 38 75 2c "bgeui",.ICLASS_xt_iclass_bsi8u,
a91e0 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 .....XTENSA_OPCODE_IS_BRANCH,...
a9200 20 20 4f 70 63 6f 64 65 5f 62 67 65 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_bgeui_encode_fns,.0,.0.
a9220 7d 2c 0a 20 20 7b 20 22 62 6c 74 75 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 },...{."bltui",.ICLASS_xt_iclass
a9240 5f 62 73 69 38 75 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 _bsi8u,.....XTENSA_OPCODE_IS_BRA
a9260 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6c 74 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 NCH,.....Opcode_bltui_encode_fns
a9280 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 65 71 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ,.0,.0.},...{."beq",.ICLASS_xt_i
a92a0 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 class_bst8,.....XTENSA_OPCODE_IS
a92c0 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 65 71 5f 65 6e 63 6f 64 65 5f 66 _BRANCH,.....Opcode_beq_encode_f
a92e0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6e 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 ns,.0,.0.},...{."bne",.ICLASS_xt
a9300 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f _iclass_bst8,.....XTENSA_OPCODE_
a9320 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6e 65 5f 65 6e 63 6f 64 65 IS_BRANCH,.....Opcode_bne_encode
a9340 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 67 65 22 2c 20 49 43 4c 41 53 53 5f _fns,.0,.0.},...{."bge",.ICLASS_
a9360 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 xt_iclass_bst8,.....XTENSA_OPCOD
a9380 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 67 65 5f 65 6e 63 6f E_IS_BRANCH,.....Opcode_bge_enco
a93a0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6c 74 22 2c 20 49 43 4c 41 53 de_fns,.0,.0.},...{."blt",.ICLAS
a93c0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 S_xt_iclass_bst8,.....XTENSA_OPC
a93e0 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6c 74 5f 65 6e ODE_IS_BRANCH,.....Opcode_blt_en
a9400 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 67 65 75 22 2c 20 49 43 code_fns,.0,.0.},...{."bgeu",.IC
a9420 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f LASS_xt_iclass_bst8,.....XTENSA_
a9440 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 67 65 OPCODE_IS_BRANCH,.....Opcode_bge
a9460 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6c 74 75 22 u_encode_fns,.0,.0.},...{."bltu"
a9480 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 ,.ICLASS_xt_iclass_bst8,.....XTE
a94a0 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 NSA_OPCODE_IS_BRANCH,.....Opcode
a94c0 5f 62 6c 74 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 _bltu_encode_fns,.0,.0.},...{."b
a94e0 61 6e 79 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 any",.ICLASS_xt_iclass_bst8,....
a9500 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 .XTENSA_OPCODE_IS_BRANCH,.....Op
a9520 63 6f 64 65 5f 62 61 6e 79 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 code_bany_encode_fns,.0,.0.},...
a9540 7b 20 22 62 6e 6f 6e 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 {."bnone",.ICLASS_xt_iclass_bst8
a9560 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 ,.....XTENSA_OPCODE_IS_BRANCH,..
a9580 20 20 20 4f 70 63 6f 64 65 5f 62 6e 6f 6e 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ...Opcode_bnone_encode_fns,.0,.0
a95a0 20 7d 2c 0a 20 20 7b 20 22 62 61 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 .},...{."ball",.ICLASS_xt_iclass
a95c0 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e _bst8,.....XTENSA_OPCODE_IS_BRAN
a95e0 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 CH,.....Opcode_ball_encode_fns,.
a9600 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6e 61 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 0,.0.},...{."bnall",.ICLASS_xt_i
a9620 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 class_bst8,.....XTENSA_OPCODE_IS
a9640 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6e 61 6c 6c 5f 65 6e 63 6f 64 65 _BRANCH,.....Opcode_bnall_encode
a9660 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 63 22 2c 20 49 43 4c 41 53 53 5f _fns,.0,.0.},...{."bbc",.ICLASS_
a9680 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 xt_iclass_bst8,.....XTENSA_OPCOD
a96a0 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 62 63 5f 65 6e 63 6f E_IS_BRANCH,.....Opcode_bbc_enco
a96c0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 62 73 22 2c 20 49 43 4c 41 53 de_fns,.0,.0.},...{."bbs",.ICLAS
a96e0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 74 38 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 S_xt_iclass_bst8,.....XTENSA_OPC
a9700 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 62 73 5f 65 6e ODE_IS_BRANCH,.....Opcode_bbs_en
a9720 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 65 71 7a 22 2c 20 49 43 code_fns,.0,.0.},...{."beqz",.IC
a9740 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 2c 0a 20 20 20 20 58 54 45 4e 53 41 LASS_xt_iclass_bsz12,.....XTENSA
a9760 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 65 _OPCODE_IS_BRANCH,.....Opcode_be
a9780 71 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 6e 65 7a qz_encode_fns,.0,.0.},...{."bnez
a97a0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 2c 0a 20 20 20 20 58 ",.ICLASS_xt_iclass_bsz12,.....X
a97c0 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 20 4f 70 63 6f TENSA_OPCODE_IS_BRANCH,.....Opco
a97e0 64 65 5f 62 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 de_bnez_encode_fns,.0,.0.},...{.
a9800 22 62 67 65 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 7a 31 32 2c 0a "bgez",.ICLASS_xt_iclass_bsz12,.
a9820 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 20 ....XTENSA_OPCODE_IS_BRANCH,....
a9840 20 4f 70 63 6f 64 65 5f 62 67 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c .Opcode_bgez_encode_fns,.0,.0.},
a9860 0a 20 20 7b 20 22 62 6c 74 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 73 ...{."bltz",.ICLASS_xt_iclass_bs
a9880 7a 31 32 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 z12,.....XTENSA_OPCODE_IS_BRANCH
a98a0 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 6c 74 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ,.....Opcode_bltz_encode_fns,.0,
a98c0 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c .0.},...{."call0",.ICLASS_xt_icl
a98e0 61 73 73 5f 63 61 6c 6c 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f ass_call0,.....XTENSA_OPCODE_IS_
a9900 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 30 5f 65 6e 63 6f 64 65 5f 66 6e CALL,.....Opcode_call0_encode_fn
a9920 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 61 6c 6c 78 30 22 2c 20 49 43 4c 41 53 53 5f s,.0,.0.},...{."callx0",.ICLASS_
a9940 78 74 5f 69 63 6c 61 73 73 5f 63 61 6c 6c 78 30 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 xt_iclass_callx0,.....XTENSA_OPC
a9960 4f 44 45 5f 49 53 5f 43 41 4c 4c 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 61 6c 6c 78 30 5f 65 ODE_IS_CALL,.....Opcode_callx0_e
a9980 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 65 78 74 75 69 22 2c 20 ncode_fns,.0,.0.},...{."extui",.
a99a0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 65 78 74 69 2c 0a 20 20 20 20 30 2c 0a 20 20 ICLASS_xt_iclass_exti,.....0,...
a99c0 20 20 4f 70 63 6f 64 65 5f 65 78 74 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_extui_encode_fns,.0,.0.
a99e0 7d 2c 0a 20 20 7b 20 22 69 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 },...{."ill",.ICLASS_xt_iclass_i
a9a00 6c 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 6c 6c 5f 65 6e 63 6f 64 65 ll,.....0,.....Opcode_ill_encode
a9a20 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6a 22 2c 20 49 43 4c 41 53 53 5f 78 74 _fns,.0,.0.},...{."j",.ICLASS_xt
a9a40 5f 69 63 6c 61 73 73 5f 6a 75 6d 70 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f _iclass_jump,.....XTENSA_OPCODE_
a9a60 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6a 5f 65 6e 63 6f 64 65 5f 66 6e 73 IS_JUMP,.....Opcode_j_encode_fns
a9a80 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6a 78 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ,.0,.0.},...{."jx",.ICLASS_xt_ic
a9aa0 6c 61 73 73 5f 6a 75 6d 70 78 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 lass_jumpx,.....XTENSA_OPCODE_IS
a9ac0 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6a 78 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c _JUMP,.....Opcode_jx_encode_fns,
a9ae0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 31 36 75 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .0,.0.},...{."l16ui",.ICLASS_xt_
a9b00 69 63 6c 61 73 73 5f 6c 31 36 75 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f iclass_l16ui,.....0,.....Opcode_
a9b20 6c 31 36 75 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c l16ui_encode_fns,.0,.0.},...{."l
a9b40 31 36 73 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 31 36 73 69 2c 0a 20 16si",.ICLASS_xt_iclass_l16si,..
a9b60 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 31 36 73 69 5f 65 6e 63 6f 64 65 5f 66 6e ...0,.....Opcode_l16si_encode_fn
a9b80 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 33 32 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 s,.0,.0.},...{."l32i",.ICLASS_xt
a9ba0 5f 69 63 6c 61 73 73 5f 6c 33 32 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f _iclass_l32i,.....0,.....Opcode_
a9bc0 6c 33 32 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 33 l32i_encode_fns,.0,.0.},...{."l3
a9be0 32 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 33 32 72 2c 0a 20 20 20 20 2r",.ICLASS_xt_iclass_l32r,.....
a9c00 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 33 32 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 0,.....Opcode_l32r_encode_fns,.0
a9c20 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 38 75 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ,.0.},...{."l8ui",.ICLASS_xt_icl
a9c40 61 73 73 5f 6c 38 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 38 75 69 5f ass_l8i,.....0,.....Opcode_l8ui_
a9c60 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 6f 6f 70 22 2c 20 encode_fns,.0,.0.},...{."loop",.
a9c80 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 2c 0a 20 20 20 20 58 54 45 4e 53 ICLASS_xt_iclass_loop,.....XTENS
a9ca0 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4c 4f 4f 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 6f 6f A_OPCODE_IS_LOOP,.....Opcode_loo
a9cc0 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 6f 6f 70 6e p_encode_fns,.0,.0.},...{."loopn
a9ce0 65 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 6f 6f 70 7a 2c 0a 20 20 20 ez",.ICLASS_xt_iclass_loopz,....
a9d00 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4c 4f 4f 50 2c 0a 20 20 20 20 4f 70 63 6f .XTENSA_OPCODE_IS_LOOP,.....Opco
a9d20 64 65 5f 6c 6f 6f 70 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 de_loopnez_encode_fns,.0,.0.},..
a9d40 20 7b 20 22 6c 6f 6f 70 67 74 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c .{."loopgtz",.ICLASS_xt_iclass_l
a9d60 6f 6f 70 7a 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4c 4f 4f 50 2c oopz,.....XTENSA_OPCODE_IS_LOOP,
a9d80 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 6f 6f 70 67 74 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 .....Opcode_loopgtz_encode_fns,.
a9da0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 0,.0.},...{."movi",.ICLASS_xt_ic
a9dc0 6c 61 73 73 5f 6d 6f 76 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 lass_movi,.....0,.....Opcode_mov
a9de0 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 65 71 i_encode_fns,.0,.0.},...{."moveq
a9e00 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 20 20 20 30 z",.ICLASS_xt_iclass_movz,.....0
a9e20 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 65 71 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ,.....Opcode_moveqz_encode_fns,.
a9e40 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 6e 65 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 0,.0.},...{."movnez",.ICLASS_xt_
a9e60 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d iclass_movz,.....0,.....Opcode_m
a9e80 6f 76 6e 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d ovnez_encode_fns,.0,.0.},...{."m
a9ea0 6f 76 6c 74 7a 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 ovltz",.ICLASS_xt_iclass_movz,..
a9ec0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 6f 76 6c 74 7a 5f 65 6e 63 6f 64 65 5f 66 ...0,.....Opcode_movltz_encode_f
a9ee0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 6f 76 67 65 7a 22 2c 20 49 43 4c 41 53 53 ns,.0,.0.},...{."movgez",.ICLASS
a9f00 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 6f 76 7a 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f _xt_iclass_movz,.....0,.....Opco
a9f20 64 65 5f 6d 6f 76 67 65 7a 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 de_movgez_encode_fns,.0,.0.},...
a9f40 7b 20 22 6e 65 67 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 65 67 2c 0a 20 {."neg",.ICLASS_xt_iclass_neg,..
a9f60 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6e 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_neg_encode_fns,
a9f80 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 61 62 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 .0,.0.},...{."abs",.ICLASS_xt_ic
a9fa0 6c 61 73 73 5f 6e 65 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 61 62 73 5f lass_neg,.....0,.....Opcode_abs_
a9fc0 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6e 6f 70 22 2c 20 49 encode_fns,.0,.0.},...{."nop",.I
a9fe0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 6f 70 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 CLASS_xt_iclass_nop,.....0,.....
aa000 4f 70 63 6f 64 65 5f 6e 6f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 Opcode_nop_encode_fns,.0,.0.},..
aa020 20 7b 20 22 72 65 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 74 75 72 .{."ret",.ICLASS_xt_iclass_retur
aa040 6e 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 n,.....XTENSA_OPCODE_IS_JUMP,...
aa060 20 20 4f 70 63 6f 64 65 5f 72 65 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c ..Opcode_ret_encode_fns,.0,.0.},
aa080 0a 20 20 7b 20 22 73 69 6d 63 61 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ...{."simcall",.ICLASS_xt_iclass
aa0a0 5f 73 69 6d 63 61 6c 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 69 6d 63 _simcall,.....0,.....Opcode_simc
aa0c0 61 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 31 36 all_encode_fns,.0,.0.},...{."s16
aa0e0 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 31 36 69 2c 0a 20 20 20 20 30 i",.ICLASS_xt_iclass_s16i,.....0
aa100 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 31 36 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ,.....Opcode_s16i_encode_fns,.0,
aa120 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 .0.},...{."s32i",.ICLASS_xt_icla
aa140 73 73 5f 73 33 32 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 33 32 69 5f ss_s32i,.....0,.....Opcode_s32i_
aa160 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 6e 62 22 2c encode_fns,.0,.0.},...{."s32nb",
aa180 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 6e 62 2c 0a 20 20 20 20 30 2c 0a .ICLASS_xt_iclass_s32nb,.....0,.
aa1a0 20 20 20 20 4f 70 63 6f 64 65 5f 73 33 32 6e 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ....Opcode_s32nb_encode_fns,.0,.
aa1c0 30 20 7d 2c 0a 20 20 7b 20 22 73 38 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 0.},...{."s8i",.ICLASS_xt_iclass
aa1e0 5f 73 38 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 38 69 5f 65 6e 63 6f _s8i,.....0,.....Opcode_s8i_enco
aa200 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 73 72 22 2c 20 49 43 4c 41 53 de_fns,.0,.0.},...{."ssr",.ICLAS
aa220 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f S_xt_iclass_sar,.....0,.....Opco
aa240 64 65 5f 73 73 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 de_ssr_encode_fns,.0,.0.},...{."
aa260 73 73 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 2c 0a 20 20 20 20 ssl",.ICLASS_xt_iclass_sar,.....
aa280 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 73 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 0,.....Opcode_ssl_encode_fns,.0,
aa2a0 20 30 20 7d 2c 0a 20 20 7b 20 22 73 73 61 38 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c .0.},...{."ssa8l",.ICLASS_xt_icl
aa2c0 61 73 73 5f 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 73 61 38 6c ass_sar,.....0,.....Opcode_ssa8l
aa2e0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 73 61 38 62 22 _encode_fns,.0,.0.},...{."ssa8b"
aa300 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 ,.ICLASS_xt_iclass_sar,.....0,..
aa320 20 20 20 4f 70 63 6f 64 65 5f 73 73 61 38 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ...Opcode_ssa8b_encode_fns,.0,.0
aa340 20 7d 2c 0a 20 20 7b 20 22 73 73 61 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 .},...{."ssai",.ICLASS_xt_iclass
aa360 5f 73 61 72 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 73 61 69 5f 65 6e _sari,.....0,.....Opcode_ssai_en
aa380 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 6c 6c 22 2c 20 49 43 4c code_fns,.0,.0.},...{."sll",.ICL
aa3a0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 73 2c 0a 20 20 20 20 30 2c 0a 20 20 20 ASS_xt_iclass_shifts,.....0,....
aa3c0 20 4f 70 63 6f 64 65 5f 73 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a .Opcode_sll_encode_fns,.0,.0.},.
aa3e0 20 20 7b 20 22 73 72 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 ..{."src",.ICLASS_xt_iclass_shif
aa400 74 73 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 72 63 5f 65 6e 63 6f 64 tst,.....0,.....Opcode_src_encod
aa420 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 72 6c 22 2c 20 49 43 4c 41 53 53 e_fns,.0,.0.},...{."srl",.ICLASS
aa440 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _xt_iclass_shiftt,.....0,.....Op
aa460 63 6f 64 65 5f 73 72 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b code_srl_encode_fns,.0,.0.},...{
aa480 20 22 73 72 61 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 68 69 66 74 74 2c ."sra",.ICLASS_xt_iclass_shiftt,
aa4a0 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 72 61 5f 65 6e 63 6f 64 65 5f 66 6e .....0,.....Opcode_sra_encode_fn
aa4c0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 6c 6c 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 s,.0,.0.},...{."slli",.ICLASS_xt
aa4e0 5f 69 63 6c 61 73 73 5f 73 6c 6c 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f _iclass_slli,.....0,.....Opcode_
aa500 73 6c 6c 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 72 slli_encode_fns,.0,.0.},...{."sr
aa520 61 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 72 61 69 2c 0a 20 20 20 20 ai",.ICLASS_xt_iclass_srai,.....
aa540 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 72 61 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 0,.....Opcode_srai_encode_fns,.0
aa560 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 72 6c 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ,.0.},...{."srli",.ICLASS_xt_icl
aa580 61 73 73 5f 73 72 6c 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 72 6c 69 ass_srli,.....0,.....Opcode_srli
aa5a0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 65 6d 77 22 2c _encode_fns,.0,.0.},...{."memw",
aa5c0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 65 6d 77 2c 0a 20 20 20 20 30 2c 0a 20 .ICLASS_xt_iclass_memw,.....0,..
aa5e0 20 20 20 4f 70 63 6f 64 65 5f 6d 65 6d 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ...Opcode_memw_encode_fns,.0,.0.
aa600 7d 2c 0a 20 20 7b 20 22 65 78 74 77 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f },...{."extw",.ICLASS_xt_iclass_
aa620 65 78 74 77 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 65 78 74 77 5f 65 6e 63 extw,.....0,.....Opcode_extw_enc
aa640 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 73 79 6e 63 22 2c 20 49 43 ode_fns,.0,.0.},...{."isync",.IC
aa660 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 73 79 6e 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 LASS_xt_iclass_isync,.....0,....
aa680 20 4f 70 63 6f 64 65 5f 69 73 79 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d .Opcode_isync_encode_fns,.0,.0.}
aa6a0 2c 0a 20 20 7b 20 22 72 73 79 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ,...{."rsync",.ICLASS_xt_iclass_
aa6c0 73 79 6e 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 79 6e 63 5f 65 6e sync,.....0,.....Opcode_rsync_en
aa6e0 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 65 73 79 6e 63 22 2c 20 49 code_fns,.0,.0.},...{."esync",.I
aa700 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 79 6e 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 CLASS_xt_iclass_sync,.....0,....
aa720 20 4f 70 63 6f 64 65 5f 65 73 79 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d .Opcode_esync_encode_fns,.0,.0.}
aa740 2c 0a 20 20 7b 20 22 64 73 79 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ,...{."dsync",.ICLASS_xt_iclass_
aa760 73 79 6e 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 73 79 6e 63 5f 65 6e sync,.....0,.....Opcode_dsync_en
aa780 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 69 6c 22 2c 20 49 43 code_fns,.0,.0.},...{."rsil",.IC
aa7a0 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 69 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 LASS_xt_iclass_rsil,.....0,.....
aa7c0 4f 70 63 6f 64 65 5f 72 73 69 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a Opcode_rsil_encode_fns,.0,.0.},.
aa7e0 20 20 7b 20 22 72 73 72 2e 6c 65 6e 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ..{."rsr.lend",.ICLASS_xt_iclass
aa800 5f 72 73 72 5f 6c 65 6e 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 _rsr_lend,.....0,.....Opcode_rsr
aa820 5f 6c 65 6e 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 _lend_encode_fns,.0,.0.},...{."w
aa840 73 72 2e 6c 65 6e 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c sr.lend",.ICLASS_xt_iclass_wsr_l
aa860 65 6e 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 65 6e 64 5f end,.....0,.....Opcode_wsr_lend_
aa880 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6c 65 6e encode_fns,.0,.0.},...{."xsr.len
aa8a0 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 65 6e 64 2c 0a 20 d",.ICLASS_xt_iclass_xsr_lend,..
aa8c0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 65 6e 64 5f 65 6e 63 6f 64 65 ...0,.....Opcode_xsr_lend_encode
aa8e0 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6c 63 6f 75 6e 74 22 2c 20 _fns,.0,.0.},...{."rsr.lcount",.
aa900 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 63 6f 75 6e 74 2c 0a 20 20 20 ICLASS_xt_iclass_rsr_lcount,....
aa920 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 .0,.....Opcode_rsr_lcount_encode
aa940 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6c 63 6f 75 6e 74 22 2c 20 _fns,.0,.0.},...{."wsr.lcount",.
aa960 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 63 6f 75 6e 74 2c 0a 20 20 20 ICLASS_xt_iclass_wsr_lcount,....
aa980 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 .0,.....Opcode_wsr_lcount_encode
aa9a0 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6c 63 6f 75 6e 74 22 2c 20 _fns,.0,.0.},...{."xsr.lcount",.
aa9c0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 63 6f 75 6e 74 2c 0a 20 20 20 ICLASS_xt_iclass_xsr_lcount,....
aa9e0 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 .0,.....Opcode_xsr_lcount_encode
aaa00 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6c 62 65 67 22 2c 20 49 43 _fns,.0,.0.},...{."rsr.lbeg",.IC
aaa20 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6c 62 65 67 2c 0a 20 20 20 20 30 2c 0a LASS_xt_iclass_rsr_lbeg,.....0,.
aaa40 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ....Opcode_rsr_lbeg_encode_fns,.
aaa60 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6c 62 65 67 22 2c 20 49 43 4c 41 53 53 5f 78 0,.0.},...{."wsr.lbeg",.ICLASS_x
aaa80 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 62 65 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 t_iclass_wsr_lbeg,.....0,.....Op
aaaa0 63 6f 64 65 5f 77 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d code_wsr_lbeg_encode_fns,.0,.0.}
aaac0 2c 0a 20 20 7b 20 22 78 73 72 2e 6c 62 65 67 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ,...{."xsr.lbeg",.ICLASS_xt_icla
aaae0 73 73 5f 78 73 72 5f 6c 62 65 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 ss_xsr_lbeg,.....0,.....Opcode_x
aab00 73 72 5f 6c 62 65 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 sr_lbeg_encode_fns,.0,.0.},...{.
aab20 22 72 73 72 2e 73 61 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f "rsr.sar",.ICLASS_xt_iclass_rsr_
aab40 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 73 61 72 5f 65 sar,.....0,.....Opcode_rsr_sar_e
aab60 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 73 61 72 22 ncode_fns,.0,.0.},...{."wsr.sar"
aab80 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 61 72 2c 0a 20 20 20 20 ,.ICLASS_xt_iclass_wsr_sar,.....
aaba0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 0,.....Opcode_wsr_sar_encode_fns
aabc0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 73 61 72 22 2c 20 49 43 4c 41 53 53 5f ,.0,.0.},...{."xsr.sar",.ICLASS_
aabe0 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 61 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 xt_iclass_xsr_sar,.....0,.....Op
aac00 63 6f 64 65 5f 78 73 72 5f 73 61 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c code_xsr_sar_encode_fns,.0,.0.},
aac20 0a 20 20 7b 20 22 72 73 72 2e 6d 65 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ...{."rsr.memctl",.ICLASS_xt_icl
aac40 61 73 73 5f 72 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 ass_rsr_memctl,.....0,.....Opcod
aac60 65 5f 72 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c e_rsr_memctl_encode_fns,.0,.0.},
aac80 0a 20 20 7b 20 22 77 73 72 2e 6d 65 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ...{."wsr.memctl",.ICLASS_xt_icl
aaca0 61 73 73 5f 77 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 ass_wsr_memctl,.....0,.....Opcod
aacc0 65 5f 77 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c e_wsr_memctl_encode_fns,.0,.0.},
aace0 0a 20 20 7b 20 22 78 73 72 2e 6d 65 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ...{."xsr.memctl",.ICLASS_xt_icl
aad00 61 73 73 5f 78 73 72 5f 6d 65 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 ass_xsr_memctl,.....0,.....Opcod
aad20 65 5f 78 73 72 5f 6d 65 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c e_xsr_memctl_encode_fns,.0,.0.},
aad40 0a 20 20 7b 20 22 72 73 72 2e 6c 69 74 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ...{."rsr.litbase",.ICLASS_xt_ic
aad60 6c 61 73 73 5f 72 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 lass_rsr_litbase,.....0,.....Opc
aad80 6f 64 65 5f 72 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ode_rsr_litbase_encode_fns,.0,.0
aada0 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6c 69 74 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 .},...{."wsr.litbase",.ICLASS_xt
aadc0 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 _iclass_wsr_litbase,.....0,.....
aade0 4f 70 63 6f 64 65 5f 77 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 Opcode_wsr_litbase_encode_fns,.0
aae00 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6c 69 74 62 61 73 65 22 2c 20 49 43 4c 41 53 53 ,.0.},...{."xsr.litbase",.ICLASS
aae20 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6c 69 74 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 _xt_iclass_xsr_litbase,.....0,..
aae40 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6c 69 74 62 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 ...Opcode_xsr_litbase_encode_fns
aae60 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 63 6f 6e 66 69 67 69 64 30 22 2c 20 49 ,.0,.0.},...{."rsr.configid0",.I
aae80 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 2c 0a 20 CLASS_xt_iclass_rsr_configid0,..
aaea0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 30 5f 65 ...0,.....Opcode_rsr_configid0_e
aaec0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 6f 6e 66 ncode_fns,.0,.0.},...{."wsr.conf
aaee0 69 67 69 64 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 6f 6e igid0",.ICLASS_xt_iclass_wsr_con
aaf00 66 69 67 69 64 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 6f figid0,.....0,.....Opcode_wsr_co
aaf20 6e 66 69 67 69 64 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 nfigid0_encode_fns,.0,.0.},...{.
aaf40 22 72 73 72 2e 63 6f 6e 66 69 67 69 64 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 "rsr.configid1",.ICLASS_xt_iclas
aaf60 73 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f s_rsr_configid1,.....0,.....Opco
aaf80 64 65 5f 72 73 72 5f 63 6f 6e 66 69 67 69 64 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 de_rsr_configid1_encode_fns,.0,.
aafa0 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 70 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 0.},...{."rsr.ps",.ICLASS_xt_icl
aafc0 61 73 73 5f 72 73 72 5f 70 73 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 ass_rsr_ps,.....0,.....Opcode_rs
aafe0 72 5f 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 r_ps_encode_fns,.0,.0.},...{."ws
ab000 72 2e 70 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 70 73 2c 0a r.ps",.ICLASS_xt_iclass_wsr_ps,.
ab020 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 70 73 5f 65 6e 63 6f 64 65 5f ....0,.....Opcode_wsr_ps_encode_
ab040 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 70 73 22 2c 20 49 43 4c 41 53 fns,.0,.0.},...{."xsr.ps",.ICLAS
ab060 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 73 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f S_xt_iclass_xsr_ps,.....0,.....O
ab080 70 63 6f 64 65 5f 78 73 72 5f 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c pcode_xsr_ps_encode_fns,.0,.0.},
ab0a0 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc1",.ICLASS_xt_iclas
ab0c0 73 5f 72 73 72 5f 65 70 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc1,.....0,.....Opcode_rs
ab0e0 72 5f 65 70 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc1_encode_fns,.0,.0.},...{."
ab100 77 73 72 2e 65 70 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc1",.ICLASS_xt_iclass_wsr_
ab120 65 70 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 31 epc1,.....0,.....Opcode_wsr_epc1
ab140 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
ab160 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 31 2c 0a c1",.ICLASS_xt_iclass_xsr_epc1,.
ab180 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 31 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc1_encod
ab1a0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 31 e_fns,.0,.0.},...{."rsr.excsave1
ab1c0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 31 ",.ICLASS_xt_iclass_rsr_excsave1
ab1e0 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 31 ,.....0,.....Opcode_rsr_excsave1
ab200 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
ab220 63 73 61 76 65 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave1",.ICLASS_xt_iclass_wsr_ex
ab240 63 73 61 76 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave1,.....0,.....Opcode_wsr_ex
ab260 63 73 61 76 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave1_encode_fns,.0,.0.},...{."
ab280 78 73 72 2e 65 78 63 73 61 76 65 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave1",.ICLASS_xt_iclass_
ab2a0 78 73 72 5f 65 78 63 73 61 76 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave1,.....0,.....Opcode_
ab2c0 78 73 72 5f 65 78 63 73 61 76 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave1_encode_fns,.0,.0.},
ab2e0 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc2",.ICLASS_xt_iclas
ab300 73 5f 72 73 72 5f 65 70 63 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc2,.....0,.....Opcode_rs
ab320 72 5f 65 70 63 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc2_encode_fns,.0,.0.},...{."
ab340 77 73 72 2e 65 70 63 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc2",.ICLASS_xt_iclass_wsr_
ab360 65 70 63 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 32 epc2,.....0,.....Opcode_wsr_epc2
ab380 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
ab3a0 63 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 32 2c 0a c2",.ICLASS_xt_iclass_xsr_epc2,.
ab3c0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 32 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc2_encod
ab3e0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 32 e_fns,.0,.0.},...{."rsr.excsave2
ab400 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 32 ",.ICLASS_xt_iclass_rsr_excsave2
ab420 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 32 ,.....0,.....Opcode_rsr_excsave2
ab440 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
ab460 63 73 61 76 65 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave2",.ICLASS_xt_iclass_wsr_ex
ab480 63 73 61 76 65 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave2,.....0,.....Opcode_wsr_ex
ab4a0 63 73 61 76 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave2_encode_fns,.0,.0.},...{."
ab4c0 78 73 72 2e 65 78 63 73 61 76 65 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave2",.ICLASS_xt_iclass_
ab4e0 78 73 72 5f 65 78 63 73 61 76 65 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave2,.....0,.....Opcode_
ab500 78 73 72 5f 65 78 63 73 61 76 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave2_encode_fns,.0,.0.},
ab520 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc3",.ICLASS_xt_iclas
ab540 73 5f 72 73 72 5f 65 70 63 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc3,.....0,.....Opcode_rs
ab560 72 5f 65 70 63 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc3_encode_fns,.0,.0.},...{."
ab580 77 73 72 2e 65 70 63 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc3",.ICLASS_xt_iclass_wsr_
ab5a0 65 70 63 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 33 epc3,.....0,.....Opcode_wsr_epc3
ab5c0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
ab5e0 63 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 33 2c 0a c3",.ICLASS_xt_iclass_xsr_epc3,.
ab600 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 33 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc3_encod
ab620 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 33 e_fns,.0,.0.},...{."rsr.excsave3
ab640 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 33 ",.ICLASS_xt_iclass_rsr_excsave3
ab660 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 33 ,.....0,.....Opcode_rsr_excsave3
ab680 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
ab6a0 63 73 61 76 65 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave3",.ICLASS_xt_iclass_wsr_ex
ab6c0 63 73 61 76 65 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave3,.....0,.....Opcode_wsr_ex
ab6e0 63 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave3_encode_fns,.0,.0.},...{."
ab700 78 73 72 2e 65 78 63 73 61 76 65 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave3",.ICLASS_xt_iclass_
ab720 78 73 72 5f 65 78 63 73 61 76 65 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave3,.....0,.....Opcode_
ab740 78 73 72 5f 65 78 63 73 61 76 65 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave3_encode_fns,.0,.0.},
ab760 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc4",.ICLASS_xt_iclas
ab780 73 5f 72 73 72 5f 65 70 63 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc4,.....0,.....Opcode_rs
ab7a0 72 5f 65 70 63 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc4_encode_fns,.0,.0.},...{."
ab7c0 77 73 72 2e 65 70 63 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc4",.ICLASS_xt_iclass_wsr_
ab7e0 65 70 63 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 34 epc4,.....0,.....Opcode_wsr_epc4
ab800 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
ab820 63 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 34 2c 0a c4",.ICLASS_xt_iclass_xsr_epc4,.
ab840 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 34 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc4_encod
ab860 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 34 e_fns,.0,.0.},...{."rsr.excsave4
ab880 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 34 ",.ICLASS_xt_iclass_rsr_excsave4
ab8a0 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 34 ,.....0,.....Opcode_rsr_excsave4
ab8c0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
ab8e0 63 73 61 76 65 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave4",.ICLASS_xt_iclass_wsr_ex
ab900 63 73 61 76 65 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave4,.....0,.....Opcode_wsr_ex
ab920 63 73 61 76 65 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave4_encode_fns,.0,.0.},...{."
ab940 78 73 72 2e 65 78 63 73 61 76 65 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave4",.ICLASS_xt_iclass_
ab960 78 73 72 5f 65 78 63 73 61 76 65 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave4,.....0,.....Opcode_
ab980 78 73 72 5f 65 78 63 73 61 76 65 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave4_encode_fns,.0,.0.},
ab9a0 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc5",.ICLASS_xt_iclas
ab9c0 73 5f 72 73 72 5f 65 70 63 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc5,.....0,.....Opcode_rs
ab9e0 72 5f 65 70 63 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc5_encode_fns,.0,.0.},...{."
aba00 77 73 72 2e 65 70 63 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc5",.ICLASS_xt_iclass_wsr_
aba20 65 70 63 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 35 epc5,.....0,.....Opcode_wsr_epc5
aba40 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
aba60 63 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 35 2c 0a c5",.ICLASS_xt_iclass_xsr_epc5,.
aba80 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 35 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc5_encod
abaa0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 35 e_fns,.0,.0.},...{."rsr.excsave5
abac0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 35 ",.ICLASS_xt_iclass_rsr_excsave5
abae0 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 35 ,.....0,.....Opcode_rsr_excsave5
abb00 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
abb20 63 73 61 76 65 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave5",.ICLASS_xt_iclass_wsr_ex
abb40 63 73 61 76 65 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave5,.....0,.....Opcode_wsr_ex
abb60 63 73 61 76 65 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave5_encode_fns,.0,.0.},...{."
abb80 78 73 72 2e 65 78 63 73 61 76 65 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave5",.ICLASS_xt_iclass_
abba0 78 73 72 5f 65 78 63 73 61 76 65 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave5,.....0,.....Opcode_
abbc0 78 73 72 5f 65 78 63 73 61 76 65 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave5_encode_fns,.0,.0.},
abbe0 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc6",.ICLASS_xt_iclas
abc00 73 5f 72 73 72 5f 65 70 63 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc6,.....0,.....Opcode_rs
abc20 72 5f 65 70 63 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc6_encode_fns,.0,.0.},...{."
abc40 77 73 72 2e 65 70 63 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc6",.ICLASS_xt_iclass_wsr_
abc60 65 70 63 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 36 epc6,.....0,.....Opcode_wsr_epc6
abc80 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
abca0 63 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 36 2c 0a c6",.ICLASS_xt_iclass_xsr_epc6,.
abcc0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 36 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc6_encod
abce0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 36 e_fns,.0,.0.},...{."rsr.excsave6
abd00 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 36 ",.ICLASS_xt_iclass_rsr_excsave6
abd20 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 36 ,.....0,.....Opcode_rsr_excsave6
abd40 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
abd60 63 73 61 76 65 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave6",.ICLASS_xt_iclass_wsr_ex
abd80 63 73 61 76 65 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave6,.....0,.....Opcode_wsr_ex
abda0 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave6_encode_fns,.0,.0.},...{."
abdc0 78 73 72 2e 65 78 63 73 61 76 65 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave6",.ICLASS_xt_iclass_
abde0 78 73 72 5f 65 78 63 73 61 76 65 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave6,.....0,.....Opcode_
abe00 78 73 72 5f 65 78 63 73 61 76 65 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave6_encode_fns,.0,.0.},
abe20 0a 20 20 7b 20 22 72 73 72 2e 65 70 63 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.epc7",.ICLASS_xt_iclas
abe40 73 5f 72 73 72 5f 65 70 63 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_epc7,.....0,.....Opcode_rs
abe60 72 5f 65 70 63 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_epc7_encode_fns,.0,.0.},...{."
abe80 77 73 72 2e 65 70 63 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.epc7",.ICLASS_xt_iclass_wsr_
abea0 65 70 63 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 63 37 epc7,.....0,.....Opcode_wsr_epc7
abec0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
abee0 63 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 63 37 2c 0a c7",.ICLASS_xt_iclass_xsr_epc7,.
abf00 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 63 37 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_epc7_encod
abf20 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 63 73 61 76 65 37 e_fns,.0,.0.},...{."rsr.excsave7
abf40 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 63 73 61 76 65 37 ",.ICLASS_xt_iclass_rsr_excsave7
abf60 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 63 73 61 76 65 37 ,.....0,.....Opcode_rsr_excsave7
abf80 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 78 _encode_fns,.0,.0.},...{."wsr.ex
abfa0 63 73 61 76 65 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 78 csave7",.ICLASS_xt_iclass_wsr_ex
abfc0 63 73 61 76 65 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 78 csave7,.....0,.....Opcode_wsr_ex
abfe0 63 73 61 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 csave7_encode_fns,.0,.0.},...{."
ac000 78 73 72 2e 65 78 63 73 61 76 65 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.excsave7",.ICLASS_xt_iclass_
ac020 78 73 72 5f 65 78 63 73 61 76 65 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_excsave7,.....0,.....Opcode_
ac040 78 73 72 5f 65 78 63 73 61 76 65 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_excsave7_encode_fns,.0,.0.},
ac060 0a 20 20 7b 20 22 72 73 72 2e 65 70 73 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."rsr.eps2",.ICLASS_xt_iclas
ac080 73 5f 72 73 72 5f 65 70 73 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 s_rsr_eps2,.....0,.....Opcode_rs
ac0a0 72 5f 65 70 73 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_eps2_encode_fns,.0,.0.},...{."
ac0c0 77 73 72 2e 65 70 73 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f wsr.eps2",.ICLASS_xt_iclass_wsr_
ac0e0 65 70 73 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 32 eps2,.....0,.....Opcode_wsr_eps2
ac100 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 _encode_fns,.0,.0.},...{."xsr.ep
ac120 73 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 32 2c 0a s2",.ICLASS_xt_iclass_xsr_eps2,.
ac140 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 32 5f 65 6e 63 6f 64 ....0,.....Opcode_xsr_eps2_encod
ac160 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 70 73 33 22 2c 20 49 e_fns,.0,.0.},...{."rsr.eps3",.I
ac180 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 33 2c 0a 20 20 20 20 30 2c CLASS_xt_iclass_rsr_eps3,.....0,
ac1a0 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c .....Opcode_rsr_eps3_encode_fns,
ac1c0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 70 73 33 22 2c 20 49 43 4c 41 53 53 5f .0,.0.},...{."wsr.eps3",.ICLASS_
ac1e0 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f xt_iclass_wsr_eps3,.....0,.....O
ac200 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 pcode_wsr_eps3_encode_fns,.0,.0.
ac220 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 73 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c },...{."xsr.eps3",.ICLASS_xt_icl
ac240 61 73 73 5f 78 73 72 5f 65 70 73 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f ass_xsr_eps3,.....0,.....Opcode_
ac260 78 73 72 5f 65 70 73 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b xsr_eps3_encode_fns,.0,.0.},...{
ac280 20 22 72 73 72 2e 65 70 73 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 ."rsr.eps4",.ICLASS_xt_iclass_rs
ac2a0 72 5f 65 70 73 34 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 r_eps4,.....0,.....Opcode_rsr_ep
ac2c0 73 34 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e s4_encode_fns,.0,.0.},...{."wsr.
ac2e0 65 70 73 34 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 34 eps4",.ICLASS_xt_iclass_wsr_eps4
ac300 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 34 5f 65 6e 63 ,.....0,.....Opcode_wsr_eps4_enc
ac320 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 73 34 22 2c ode_fns,.0,.0.},...{."xsr.eps4",
ac340 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 34 2c 0a 20 20 20 20 .ICLASS_xt_iclass_xsr_eps4,.....
ac360 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 34 5f 65 6e 63 6f 64 65 5f 66 6e 0,.....Opcode_xsr_eps4_encode_fn
ac380 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 70 73 35 22 2c 20 49 43 4c 41 53 s,.0,.0.},...{."rsr.eps5",.ICLAS
ac3a0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 S_xt_iclass_rsr_eps5,.....0,....
ac3c0 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 .Opcode_rsr_eps5_encode_fns,.0,.
ac3e0 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 70 73 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 0.},...{."wsr.eps5",.ICLASS_xt_i
ac400 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 class_wsr_eps5,.....0,.....Opcod
ac420 65 5f 77 73 72 5f 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 e_wsr_eps5_encode_fns,.0,.0.},..
ac440 20 7b 20 22 78 73 72 2e 65 70 73 35 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f .{."xsr.eps5",.ICLASS_xt_iclass_
ac460 78 73 72 5f 65 70 73 35 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f xsr_eps5,.....0,.....Opcode_xsr_
ac480 65 70 73 35 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 eps5_encode_fns,.0,.0.},...{."rs
ac4a0 72 2e 65 70 73 36 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 r.eps6",.ICLASS_xt_iclass_rsr_ep
ac4c0 73 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 70 73 36 5f 65 s6,.....0,.....Opcode_rsr_eps6_e
ac4e0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 65 70 73 36 ncode_fns,.0,.0.},...{."wsr.eps6
ac500 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 65 70 73 36 2c 0a 20 20 ",.ICLASS_xt_iclass_wsr_eps6,...
ac520 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 65 70 73 36 5f 65 6e 63 6f 64 65 5f ..0,.....Opcode_wsr_eps6_encode_
ac540 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 65 70 73 36 22 2c 20 49 43 4c fns,.0,.0.},...{."xsr.eps6",.ICL
ac560 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 65 70 73 36 2c 0a 20 20 20 20 30 2c 0a 20 ASS_xt_iclass_xsr_eps6,.....0,..
ac580 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 36 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 ...Opcode_xsr_eps6_encode_fns,.0
ac5a0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 70 73 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 ,.0.},...{."rsr.eps7",.ICLASS_xt
ac5c0 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 70 73 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _iclass_rsr_eps7,.....0,.....Opc
ac5e0 6f 64 65 5f 72 73 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c ode_rsr_eps7_encode_fns,.0,.0.},
ac600 0a 20 20 7b 20 22 77 73 72 2e 65 70 73 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."wsr.eps7",.ICLASS_xt_iclas
ac620 73 5f 77 73 72 5f 65 70 73 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 s_wsr_eps7,.....0,.....Opcode_ws
ac640 72 5f 65 70 73 37 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_eps7_encode_fns,.0,.0.},...{."
ac660 78 73 72 2e 65 70 73 37 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f xsr.eps7",.ICLASS_xt_iclass_xsr_
ac680 65 70 73 37 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 65 70 73 37 eps7,.....0,.....Opcode_xsr_eps7
ac6a0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 _encode_fns,.0,.0.},...{."rsr.ex
ac6c0 63 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 cvaddr",.ICLASS_xt_iclass_rsr_ex
ac6e0 63 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 cvaddr,.....0,.....Opcode_rsr_ex
ac700 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 cvaddr_encode_fns,.0,.0.},...{."
ac720 77 73 72 2e 65 78 63 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f wsr.excvaddr",.ICLASS_xt_iclass_
ac740 77 73 72 5f 65 78 63 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f wsr_excvaddr,.....0,.....Opcode_
ac760 77 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c wsr_excvaddr_encode_fns,.0,.0.},
ac780 0a 20 20 7b 20 22 78 73 72 2e 65 78 63 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ...{."xsr.excvaddr",.ICLASS_xt_i
ac7a0 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f class_xsr_excvaddr,.....0,.....O
ac7c0 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 pcode_xsr_excvaddr_encode_fns,.0
ac7e0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 65 70 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 ,.0.},...{."rsr.depc",.ICLASS_xt
ac800 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 65 70 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _iclass_rsr_depc,.....0,.....Opc
ac820 6f 64 65 5f 72 73 72 5f 64 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c ode_rsr_depc_encode_fns,.0,.0.},
ac840 0a 20 20 7b 20 22 77 73 72 2e 64 65 70 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ...{."wsr.depc",.ICLASS_xt_iclas
ac860 73 5f 77 73 72 5f 64 65 70 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 s_wsr_depc,.....0,.....Opcode_ws
ac880 72 5f 64 65 70 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 r_depc_encode_fns,.0,.0.},...{."
ac8a0 78 73 72 2e 64 65 70 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f xsr.depc",.ICLASS_xt_iclass_xsr_
ac8c0 64 65 70 63 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 70 63 depc,.....0,.....Opcode_xsr_depc
ac8e0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 65 78 _encode_fns,.0,.0.},...{."rsr.ex
ac900 63 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 65 78 ccause",.ICLASS_xt_iclass_rsr_ex
ac920 63 63 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 65 78 ccause,.....0,.....Opcode_rsr_ex
ac940 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 ccause_encode_fns,.0,.0.},...{."
ac960 77 73 72 2e 65 78 63 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f wsr.exccause",.ICLASS_xt_iclass_
ac980 77 73 72 5f 65 78 63 63 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f wsr_exccause,.....0,.....Opcode_
ac9a0 77 73 72 5f 65 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c wsr_exccause_encode_fns,.0,.0.},
ac9c0 0a 20 20 7b 20 22 78 73 72 2e 65 78 63 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ...{."xsr.exccause",.ICLASS_xt_i
ac9e0 63 6c 61 73 73 5f 78 73 72 5f 65 78 63 63 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f class_xsr_exccause,.....0,.....O
aca00 70 63 6f 64 65 5f 78 73 72 5f 65 78 63 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 pcode_xsr_exccause_encode_fns,.0
aca20 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d 69 73 63 30 22 2c 20 49 43 4c 41 53 53 5f 78 ,.0.},...{."rsr.misc0",.ICLASS_x
aca40 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 69 73 63 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t_iclass_rsr_misc0,.....0,.....O
aca60 70 63 6f 64 65 5f 72 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 pcode_rsr_misc0_encode_fns,.0,.0
aca80 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 69 73 63 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .},...{."wsr.misc0",.ICLASS_xt_i
acaa0 63 6c 61 73 73 5f 77 73 72 5f 6d 69 73 63 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f class_wsr_misc0,.....0,.....Opco
acac0 64 65 5f 77 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c de_wsr_misc0_encode_fns,.0,.0.},
acae0 0a 20 20 7b 20 22 78 73 72 2e 6d 69 73 63 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ...{."xsr.misc0",.ICLASS_xt_icla
acb00 73 73 5f 78 73 72 5f 6d 69 73 63 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f ss_xsr_misc0,.....0,.....Opcode_
acb20 78 73 72 5f 6d 69 73 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 xsr_misc0_encode_fns,.0,.0.},...
acb40 7b 20 22 72 73 72 2e 6d 69 73 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f {."rsr.misc1",.ICLASS_xt_iclass_
acb60 72 73 72 5f 6d 69 73 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 rsr_misc1,.....0,.....Opcode_rsr
acb80 5f 6d 69 73 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 _misc1_encode_fns,.0,.0.},...{."
acba0 77 73 72 2e 6d 69 73 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 wsr.misc1",.ICLASS_xt_iclass_wsr
acbc0 5f 6d 69 73 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 69 _misc1,.....0,.....Opcode_wsr_mi
acbe0 73 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 sc1_encode_fns,.0,.0.},...{."xsr
acc00 2e 6d 69 73 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 69 .misc1",.ICLASS_xt_iclass_xsr_mi
acc20 73 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 69 73 63 31 sc1,.....0,.....Opcode_xsr_misc1
acc40 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 70 72 _encode_fns,.0,.0.},...{."rsr.pr
acc60 69 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 70 72 69 64 2c 0a id",.ICLASS_xt_iclass_rsr_prid,.
acc80 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 70 72 69 64 5f 65 6e 63 6f 64 ....0,.....Opcode_rsr_prid_encod
acca0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 76 65 63 62 61 73 65 22 e_fns,.0,.0.},...{."rsr.vecbase"
accc0 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 76 65 63 62 61 73 65 2c 0a ,.ICLASS_xt_iclass_rsr_vecbase,.
acce0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 76 65 63 62 61 73 65 5f 65 6e ....0,.....Opcode_rsr_vecbase_en
acd00 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 76 65 63 62 61 code_fns,.0,.0.},...{."wsr.vecba
acd20 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 76 65 63 62 61 73 se",.ICLASS_xt_iclass_wsr_vecbas
acd40 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 76 65 63 62 61 73 65 e,.....0,.....Opcode_wsr_vecbase
acd60 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 76 65 _encode_fns,.0,.0.},...{."xsr.ve
acd80 63 62 61 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 76 65 63 cbase",.ICLASS_xt_iclass_xsr_vec
acda0 62 61 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 76 65 63 62 base,.....0,.....Opcode_xsr_vecb
acdc0 61 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c ase_encode_fns,.0,.0.},...{."mul
acde0 31 36 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 6d 75 6c 31 36 2c 0a 20 20 20 20 30 2c 0a 20 20 16u",.ICLASS_xt_mul16,.....0,...
ace00 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ..Opcode_mul16u_encode_fns,.0,.0
ace20 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 31 36 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 6d 75 6c 31 .},...{."mul16s",.ICLASS_xt_mul1
ace40 36 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 31 36 73 5f 65 6e 63 6f 6,.....0,.....Opcode_mul16s_enco
ace60 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 6c 22 2c 20 49 43 4c 41 de_fns,.0,.0.},...{."mull",.ICLA
ace80 53 53 5f 78 74 5f 6d 75 6c 33 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d SS_xt_mul32,.....0,.....Opcode_m
acea0 75 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c ull_encode_fns,.0,.0.},...{."mul
acec0 2e 61 61 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f .aa.ll",.ICLASS_xt_iclass_mac16_
acee0 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 6c 5f aa,.....0,.....Opcode_mul_aa_ll_
acf00 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 61 61 2e encode_fns,.0,.0.},...{."mul.aa.
acf20 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a hl",.ICLASS_xt_iclass_mac16_aa,.
acf40 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 6c 5f 65 6e 63 6f ....0,.....Opcode_mul_aa_hl_enco
acf60 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 61 61 2e 6c 68 22 2c de_fns,.0,.0.},...{."mul.aa.lh",
acf80 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 .ICLASS_xt_iclass_mac16_aa,.....
acfa0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 0,.....Opcode_mul_aa_lh_encode_f
acfc0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 61 61 2e 68 68 22 2c 20 49 43 4c ns,.0,.0.},...{."mul.aa.hh",.ICL
acfe0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 ASS_xt_iclass_mac16_aa,.....0,..
ad000 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ...Opcode_mul_aa_hh_encode_fns,.
ad020 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 75 6d 75 6c 2e 61 61 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 0,.0.},...{."umul.aa.ll",.ICLASS
ad040 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 _xt_iclass_mac16_aa,.....0,.....
ad060 4f 70 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c Opcode_umul_aa_ll_encode_fns,.0,
ad080 20 30 20 7d 2c 0a 20 20 7b 20 22 75 6d 75 6c 2e 61 61 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 .0.},...{."umul.aa.hl",.ICLASS_x
ad0a0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 t_iclass_mac16_aa,.....0,.....Op
ad0c0 63 6f 64 65 5f 75 6d 75 6c 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 code_umul_aa_hl_encode_fns,.0,.0
ad0e0 20 7d 2c 0a 20 20 7b 20 22 75 6d 75 6c 2e 61 61 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .},...{."umul.aa.lh",.ICLASS_xt_
ad100 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f iclass_mac16_aa,.....0,.....Opco
ad120 64 65 5f 75 6d 75 6c 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d de_umul_aa_lh_encode_fns,.0,.0.}
ad140 2c 0a 20 20 7b 20 22 75 6d 75 6c 2e 61 61 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ,...{."umul.aa.hh",.ICLASS_xt_ic
ad160 6c 61 73 73 5f 6d 61 63 31 36 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 lass_mac16_aa,.....0,.....Opcode
ad180 5f 75 6d 75 6c 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a _umul_aa_hh_encode_fns,.0,.0.},.
ad1a0 20 20 7b 20 22 6d 75 6c 2e 61 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ..{."mul.ad.ll",.ICLASS_xt_iclas
ad1c0 73 5f 6d 61 63 31 36 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 s_mac16_ad,.....0,.....Opcode_mu
ad1e0 6c 5f 61 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 l_ad_ll_encode_fns,.0,.0.},...{.
ad200 22 6d 75 6c 2e 61 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 "mul.ad.hl",.ICLASS_xt_iclass_ma
ad220 63 31 36 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 c16_ad,.....0,.....Opcode_mul_ad
ad240 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c _hl_encode_fns,.0,.0.},...{."mul
ad260 2e 61 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f .ad.lh",.ICLASS_xt_iclass_mac16_
ad280 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 6c 68 5f ad,.....0,.....Opcode_mul_ad_lh_
ad2a0 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 61 64 2e encode_fns,.0,.0.},...{."mul.ad.
ad2c0 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 61 64 2c 0a hh",.ICLASS_xt_iclass_mac16_ad,.
ad2e0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 61 64 5f 68 68 5f 65 6e 63 6f ....0,.....Opcode_mul_ad_hh_enco
ad300 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 61 2e 6c 6c 22 2c de_fns,.0,.0.},...{."mul.da.ll",
ad320 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 20 20 .ICLASS_xt_iclass_mac16_da,.....
ad340 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 0,.....Opcode_mul_da_ll_encode_f
ad360 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 61 2e 68 6c 22 2c 20 49 43 4c ns,.0,.0.},...{."mul.da.hl",.ICL
ad380 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 ASS_xt_iclass_mac16_da,.....0,..
ad3a0 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ...Opcode_mul_da_hl_encode_fns,.
ad3c0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 61 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 0,.0.},...{."mul.da.lh",.ICLASS_
ad3e0 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f xt_iclass_mac16_da,.....0,.....O
ad400 70 63 6f 64 65 5f 6d 75 6c 5f 64 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 pcode_mul_da_lh_encode_fns,.0,.0
ad420 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 61 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .},...{."mul.da.hh",.ICLASS_xt_i
ad440 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 class_mac16_da,.....0,.....Opcod
ad460 65 5f 6d 75 6c 5f 64 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a e_mul_da_hh_encode_fns,.0,.0.},.
ad480 20 20 7b 20 22 6d 75 6c 2e 64 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ..{."mul.dd.ll",.ICLASS_xt_iclas
ad4a0 73 5f 6d 61 63 31 36 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 s_mac16_dd,.....0,.....Opcode_mu
ad4c0 6c 5f 64 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 l_dd_ll_encode_fns,.0,.0.},...{.
ad4e0 22 6d 75 6c 2e 64 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 "mul.dd.hl",.ICLASS_xt_iclass_ma
ad500 63 31 36 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 c16_dd,.....0,.....Opcode_mul_dd
ad520 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c _hl_encode_fns,.0,.0.},...{."mul
ad540 2e 64 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f .dd.lh",.ICLASS_xt_iclass_mac16_
ad560 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 6c 68 5f dd,.....0,.....Opcode_mul_dd_lh_
ad580 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 2e 64 64 2e encode_fns,.0,.0.},...{."mul.dd.
ad5a0 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 64 64 2c 0a hh",.ICLASS_xt_iclass_mac16_dd,.
ad5c0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 5f 64 64 5f 68 68 5f 65 6e 63 6f ....0,.....Opcode_mul_dd_hh_enco
ad5e0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 61 2e 6c 6c 22 de_fns,.0,.0.},...{."mula.aa.ll"
ad600 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 ,.ICLASS_xt_iclass_mac16a_aa,...
ad620 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 6c 5f 65 6e 63 6f 64 ..0,.....Opcode_mula_aa_ll_encod
ad640 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 61 2e 68 6c 22 2c e_fns,.0,.0.},...{."mula.aa.hl",
ad660 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 .ICLASS_xt_iclass_mac16a_aa,....
ad680 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 .0,.....Opcode_mula_aa_hl_encode
ad6a0 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 61 2e 6c 68 22 2c 20 _fns,.0,.0.},...{."mula.aa.lh",.
ad6c0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 ICLASS_xt_iclass_mac16a_aa,.....
ad6e0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 0,.....Opcode_mula_aa_lh_encode_
ad700 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 61 2e 68 68 22 2c 20 49 fns,.0,.0.},...{."mula.aa.hh",.I
ad720 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 CLASS_xt_iclass_mac16a_aa,.....0
ad740 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 ,.....Opcode_mula_aa_hh_encode_f
ad760 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 61 2e 6c 6c 22 2c 20 49 43 ns,.0,.0.},...{."muls.aa.ll",.IC
ad780 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 2c LASS_xt_iclass_mac16a_aa,.....0,
ad7a0 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e .....Opcode_muls_aa_ll_encode_fn
ad7c0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 61 2e 68 6c 22 2c 20 49 43 4c s,.0,.0.},...{."muls.aa.hl",.ICL
ad7e0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 2c 0a ASS_xt_iclass_mac16a_aa,.....0,.
ad800 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 ....Opcode_muls_aa_hl_encode_fns
ad820 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 61 2e 6c 68 22 2c 20 49 43 4c 41 ,.0,.0.},...{."muls.aa.lh",.ICLA
ad840 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 SS_xt_iclass_mac16a_aa,.....0,..
ad860 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...Opcode_muls_aa_lh_encode_fns,
ad880 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 61 2e 68 68 22 2c 20 49 43 4c 41 53 .0,.0.},...{."muls.aa.hh",.ICLAS
ad8a0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 61 2c 0a 20 20 20 20 30 2c 0a 20 20 S_xt_iclass_mac16a_aa,.....0,...
ad8c0 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 61 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ..Opcode_muls_aa_hh_encode_fns,.
ad8e0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 0,.0.},...{."mula.ad.ll",.ICLASS
ad900 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 _xt_iclass_mac16a_ad,.....0,....
ad920 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 .Opcode_mula_ad_ll_encode_fns,.0
ad940 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f ,.0.},...{."mula.ad.hl",.ICLASS_
ad960 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 xt_iclass_mac16a_ad,.....0,.....
ad980 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c Opcode_mula_ad_hl_encode_fns,.0,
ad9a0 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 .0.},...{."mula.ad.lh",.ICLASS_x
ad9c0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t_iclass_mac16a_ad,.....0,.....O
ad9e0 70 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 pcode_mula_ad_lh_encode_fns,.0,.
ada00 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 61 64 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 0.},...{."mula.ad.hh",.ICLASS_xt
ada20 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _iclass_mac16a_ad,.....0,.....Op
ada40 63 6f 64 65 5f 6d 75 6c 61 5f 61 64 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 code_mula_ad_hh_encode_fns,.0,.0
ada60 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .},...{."muls.ad.ll",.ICLASS_xt_
ada80 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 iclass_mac16a_ad,.....0,.....Opc
adaa0 6f 64 65 5f 6d 75 6c 73 5f 61 64 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ode_muls_ad_ll_encode_fns,.0,.0.
adac0 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 },...{."muls.ad.hl",.ICLASS_xt_i
adae0 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f class_mac16a_ad,.....0,.....Opco
adb00 64 65 5f 6d 75 6c 73 5f 61 64 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d de_muls_ad_hl_encode_fns,.0,.0.}
adb20 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ,...{."muls.ad.lh",.ICLASS_xt_ic
adb40 6c 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 lass_mac16a_ad,.....0,.....Opcod
adb60 65 5f 6d 75 6c 73 5f 61 64 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c e_muls_ad_lh_encode_fns,.0,.0.},
adb80 0a 20 20 7b 20 22 6d 75 6c 73 2e 61 64 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c ...{."muls.ad.hh",.ICLASS_xt_icl
adba0 61 73 73 5f 6d 61 63 31 36 61 5f 61 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 ass_mac16a_ad,.....0,.....Opcode
adbc0 5f 6d 75 6c 73 5f 61 64 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a _muls_ad_hh_encode_fns,.0,.0.},.
adbe0 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ..{."mula.da.ll",.ICLASS_xt_icla
adc00 73 73 5f 6d 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f ss_mac16a_da,.....0,.....Opcode_
adc20 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 mula_da_ll_encode_fns,.0,.0.},..
adc40 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 .{."mula.da.hl",.ICLASS_xt_iclas
adc60 73 5f 6d 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d s_mac16a_da,.....0,.....Opcode_m
adc80 75 6c 61 5f 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 ula_da_hl_encode_fns,.0,.0.},...
adca0 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 {."mula.da.lh",.ICLASS_xt_iclass
adcc0 5f 6d 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 _mac16a_da,.....0,.....Opcode_mu
adce0 6c 61 5f 64 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b la_da_lh_encode_fns,.0,.0.},...{
add00 20 22 6d 75 6c 61 2e 64 61 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ."mula.da.hh",.ICLASS_xt_iclass_
add20 6d 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c mac16a_da,.....0,.....Opcode_mul
add40 61 5f 64 61 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 a_da_hh_encode_fns,.0,.0.},...{.
add60 22 6d 75 6c 73 2e 64 61 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d "muls.da.ll",.ICLASS_xt_iclass_m
add80 61 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 ac16a_da,.....0,.....Opcode_muls
adda0 5f 64 61 5f 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 _da_ll_encode_fns,.0,.0.},...{."
addc0 6d 75 6c 73 2e 64 61 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 muls.da.hl",.ICLASS_xt_iclass_ma
adde0 63 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f c16a_da,.....0,.....Opcode_muls_
ade00 64 61 5f 68 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d da_hl_encode_fns,.0,.0.},...{."m
ade20 75 6c 73 2e 64 61 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 uls.da.lh",.ICLASS_xt_iclass_mac
ade40 31 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 16a_da,.....0,.....Opcode_muls_d
ade60 61 5f 6c 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 a_lh_encode_fns,.0,.0.},...{."mu
ade80 6c 73 2e 64 61 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 ls.da.hh",.ICLASS_xt_iclass_mac1
adea0 36 61 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 61 6a_da,.....0,.....Opcode_muls_da
adec0 5f 68 68 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c _hh_encode_fns,.0,.0.},...{."mul
adee0 61 2e 64 64 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 a.dd.ll",.ICLASS_xt_iclass_mac16
adf00 61 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f a_dd,.....0,.....Opcode_mula_dd_
adf20 6c 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 ll_encode_fns,.0,.0.},...{."mula
adf40 2e 64 64 2e 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 .dd.hl",.ICLASS_xt_iclass_mac16a
adf60 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 _dd,.....0,.....Opcode_mula_dd_h
adf80 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e l_encode_fns,.0,.0.},...{."mula.
adfa0 64 64 2e 6c 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f dd.lh",.ICLASS_xt_iclass_mac16a_
adfc0 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 dd,.....0,.....Opcode_mula_dd_lh
adfe0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 _encode_fns,.0,.0.},...{."mula.d
ae000 64 2e 68 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 d.hh",.ICLASS_xt_iclass_mac16a_d
ae020 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f d,.....0,.....Opcode_mula_dd_hh_
ae040 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 64 64 encode_fns,.0,.0.},...{."muls.dd
ae060 2e 6c 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 .ll",.ICLASS_xt_iclass_mac16a_dd
ae080 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 6c 5f 65 ,.....0,.....Opcode_muls_dd_ll_e
ae0a0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 64 64 2e ncode_fns,.0,.0.},...{."muls.dd.
ae0c0 68 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 2c hl",.ICLASS_xt_iclass_mac16a_dd,
ae0e0 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 6c 5f 65 6e .....0,.....Opcode_muls_dd_hl_en
ae100 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 64 64 2e 6c code_fns,.0,.0.},...{."muls.dd.l
ae120 68 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 2c 0a h",.ICLASS_xt_iclass_mac16a_dd,.
ae140 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 6c 68 5f 65 6e 63 ....0,.....Opcode_muls_dd_lh_enc
ae160 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 73 2e 64 64 2e 68 68 ode_fns,.0,.0.},...{."muls.dd.hh
ae180 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 5f 64 64 2c 0a 20 ",.ICLASS_xt_iclass_mac16a_dd,..
ae1a0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 73 5f 64 64 5f 68 68 5f 65 6e 63 6f ...0,.....Opcode_muls_dd_hh_enco
ae1c0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 6c 2e de_fns,.0,.0.},...{."mula.da.ll.
ae1e0 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c lddec",.ICLASS_xt_iclass_mac16al
ae200 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c _da,.....0,.....Opcode_mula_da_l
ae220 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 l_lddec_encode_fns,.0,.0.},...{.
ae240 22 6d 75 6c 61 2e 64 61 2e 6c 6c 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 "mula.da.ll.ldinc",.ICLASS_xt_ic
ae260 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f lass_mac16al_da,.....0,.....Opco
ae280 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 de_mula_da_ll_ldinc_encode_fns,.
ae2a0 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 68 6c 2e 6c 64 64 65 63 22 2c 20 0,.0.},...{."mula.da.hl.lddec",.
ae2c0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 ICLASS_xt_iclass_mac16al_da,....
ae2e0 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 6c 5f 6c 64 64 65 63 5f .0,.....Opcode_mula_da_hl_lddec_
ae300 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 encode_fns,.0,.0.},...{."mula.da
ae320 2e 68 6c 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 .hl.ldinc",.ICLASS_xt_iclass_mac
ae340 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 16al_da,.....0,.....Opcode_mula_
ae360 64 61 5f 68 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a da_hl_ldinc_encode_fns,.0,.0.},.
ae380 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 68 2e 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 ..{."mula.da.lh.lddec",.ICLASS_x
ae3a0 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 t_iclass_mac16al_da,.....0,.....
ae3c0 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 Opcode_mula_da_lh_lddec_encode_f
ae3e0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 6c 68 2e 6c 64 69 6e ns,.0,.0.},...{."mula.da.lh.ldin
ae400 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c c",.ICLASS_xt_iclass_mac16al_da,
ae420 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 6c 68 5f 6c 64 .....0,.....Opcode_mula_da_lh_ld
ae440 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c inc_encode_fns,.0,.0.},...{."mul
ae460 61 2e 64 61 2e 68 68 2e 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 a.da.hh.lddec",.ICLASS_xt_iclass
ae480 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d _mac16al_da,.....0,.....Opcode_m
ae4a0 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ula_da_hh_lddec_encode_fns,.0,.0
ae4c0 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 61 2e 68 68 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 .},...{."mula.da.hh.ldinc",.ICLA
ae4e0 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 61 2c 0a 20 20 20 20 30 2c 0a SS_xt_iclass_mac16al_da,.....0,.
ae500 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 61 5f 68 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f ....Opcode_mula_da_hh_ldinc_enco
ae520 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 6c 6c 2e de_fns,.0,.0.},...{."mula.dd.ll.
ae540 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c lddec",.ICLASS_xt_iclass_mac16al
ae560 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c _dd,.....0,.....Opcode_mula_dd_l
ae580 6c 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 l_lddec_encode_fns,.0,.0.},...{.
ae5a0 22 6d 75 6c 61 2e 64 64 2e 6c 6c 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 "mula.dd.ll.ldinc",.ICLASS_xt_ic
ae5c0 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f lass_mac16al_dd,.....0,.....Opco
ae5e0 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 de_mula_dd_ll_ldinc_encode_fns,.
ae600 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 68 6c 2e 6c 64 64 65 63 22 2c 20 0,.0.},...{."mula.dd.hl.lddec",.
ae620 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 ICLASS_xt_iclass_mac16al_dd,....
ae640 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 6c 5f 6c 64 64 65 63 5f .0,.....Opcode_mula_dd_hl_lddec_
ae660 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 encode_fns,.0,.0.},...{."mula.dd
ae680 2e 68 6c 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 .hl.ldinc",.ICLASS_xt_iclass_mac
ae6a0 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 16al_dd,.....0,.....Opcode_mula_
ae6c0 64 64 5f 68 6c 5f 6c 64 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a dd_hl_ldinc_encode_fns,.0,.0.},.
ae6e0 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 6c 68 2e 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 ..{."mula.dd.lh.lddec",.ICLASS_x
ae700 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 t_iclass_mac16al_dd,.....0,.....
ae720 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 Opcode_mula_dd_lh_lddec_encode_f
ae740 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 6c 68 2e 6c 64 69 6e ns,.0,.0.},...{."mula.dd.lh.ldin
ae760 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c c",.ICLASS_xt_iclass_mac16al_dd,
ae780 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 6c 68 5f 6c 64 .....0,.....Opcode_mula_dd_lh_ld
ae7a0 69 6e 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c inc_encode_fns,.0,.0.},...{."mul
ae7c0 61 2e 64 64 2e 68 68 2e 6c 64 64 65 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 a.dd.hh.lddec",.ICLASS_xt_iclass
ae7e0 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d _mac16al_dd,.....0,.....Opcode_m
ae800 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ula_dd_hh_lddec_encode_fns,.0,.0
ae820 20 7d 2c 0a 20 20 7b 20 22 6d 75 6c 61 2e 64 64 2e 68 68 2e 6c 64 69 6e 63 22 2c 20 49 43 4c 41 .},...{."mula.dd.hh.ldinc",.ICLA
ae840 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 61 6c 5f 64 64 2c 0a 20 20 20 20 30 2c 0a SS_xt_iclass_mac16al_dd,.....0,.
ae860 20 20 20 20 4f 70 63 6f 64 65 5f 6d 75 6c 61 5f 64 64 5f 68 68 5f 6c 64 69 6e 63 5f 65 6e 63 6f ....Opcode_mula_dd_hh_ldinc_enco
ae880 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 64 64 65 63 22 2c 20 49 43 4c de_fns,.0,.0.},...{."lddec",.ICL
ae8a0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 61 63 31 36 5f 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 ASS_xt_iclass_mac16_l,.....0,...
ae8c0 20 20 4f 70 63 6f 64 65 5f 6c 64 64 65 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_lddec_encode_fns,.0,.0.
ae8e0 7d 2c 0a 20 20 7b 20 22 6c 64 69 6e 63 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 },...{."ldinc",.ICLASS_xt_iclass
ae900 5f 6d 61 63 31 36 5f 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 64 69 6e _mac16_l,.....0,.....Opcode_ldin
ae920 63 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d c_encode_fns,.0,.0.},...{."rsr.m
ae940 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 30 2c 0a 20 20 20 0",.ICLASS_xt_iclass_rsr_m0,....
ae960 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 .0,.....Opcode_rsr_m0_encode_fns
ae980 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 30 22 2c 20 49 43 4c 41 53 53 5f 78 ,.0,.0.},...{."wsr.m0",.ICLASS_x
ae9a0 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f t_iclass_wsr_m0,.....0,.....Opco
ae9c0 64 65 5f 77 73 72 5f 6d 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 de_wsr_m0_encode_fns,.0,.0.},...
ae9e0 7b 20 22 78 73 72 2e 6d 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 {."xsr.m0",.ICLASS_xt_iclass_xsr
aea00 5f 6d 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 30 5f 65 6e _m0,.....0,.....Opcode_xsr_m0_en
aea20 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d 31 22 2c 20 code_fns,.0,.0.},...{."rsr.m1",.
aea40 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 31 2c 0a 20 20 20 20 30 2c 0a ICLASS_xt_iclass_rsr_m1,.....0,.
aea60 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ....Opcode_rsr_m1_encode_fns,.0,
aea80 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 .0.},...{."wsr.m1",.ICLASS_xt_ic
aeaa0 6c 61 73 73 5f 77 73 72 5f 6d 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 lass_wsr_m1,.....0,.....Opcode_w
aeac0 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 sr_m1_encode_fns,.0,.0.},...{."x
aeae0 73 72 2e 6d 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 31 2c sr.m1",.ICLASS_xt_iclass_xsr_m1,
aeb00 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 31 5f 65 6e 63 6f 64 65 .....0,.....Opcode_xsr_m1_encode
aeb20 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d 32 22 2c 20 49 43 4c 41 _fns,.0,.0.},...{."rsr.m2",.ICLA
aeb40 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 SS_xt_iclass_rsr_m2,.....0,.....
aeb60 4f 70 63 6f 64 65 5f 72 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d Opcode_rsr_m2_encode_fns,.0,.0.}
aeb80 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 ,...{."wsr.m2",.ICLASS_xt_iclass
aeba0 5f 77 73 72 5f 6d 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d _wsr_m2,.....0,.....Opcode_wsr_m
aebc0 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6d 2_encode_fns,.0,.0.},...{."xsr.m
aebe0 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 32 2c 0a 20 20 20 2",.ICLASS_xt_iclass_xsr_m2,....
aec00 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 .0,.....Opcode_xsr_m2_encode_fns
aec20 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 6d 33 22 2c 20 49 43 4c 41 53 53 5f 78 ,.0,.0.},...{."rsr.m3",.ICLASS_x
aec40 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 6d 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f t_iclass_rsr_m3,.....0,.....Opco
aec60 64 65 5f 72 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 de_rsr_m3_encode_fns,.0,.0.},...
aec80 7b 20 22 77 73 72 2e 6d 33 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 {."wsr.m3",.ICLASS_xt_iclass_wsr
aeca0 5f 6d 33 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 33 5f 65 6e _m3,.....0,.....Opcode_wsr_m3_en
aecc0 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 6d 33 22 2c 20 code_fns,.0,.0.},...{."xsr.m3",.
aece0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 6d 33 2c 0a 20 20 20 20 30 2c 0a ICLASS_xt_iclass_xsr_m3,.....0,.
aed00 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 6d 33 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ....Opcode_xsr_m3_encode_fns,.0,
aed20 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 61 63 63 6c 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 .0.},...{."rsr.acclo",.ICLASS_xt
aed40 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 63 63 6c 6f 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _iclass_rsr_acclo,.....0,.....Op
aed60 63 6f 64 65 5f 72 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 code_rsr_acclo_encode_fns,.0,.0.
aed80 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 61 63 63 6c 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 },...{."wsr.acclo",.ICLASS_xt_ic
aeda0 6c 61 73 73 5f 77 73 72 5f 61 63 63 6c 6f 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 lass_wsr_acclo,.....0,.....Opcod
aedc0 65 5f 77 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a e_wsr_acclo_encode_fns,.0,.0.},.
aede0 20 20 7b 20 22 78 73 72 2e 61 63 63 6c 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ..{."xsr.acclo",.ICLASS_xt_iclas
aee00 73 5f 78 73 72 5f 61 63 63 6c 6f 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 s_xsr_acclo,.....0,.....Opcode_x
aee20 73 72 5f 61 63 63 6c 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b sr_acclo_encode_fns,.0,.0.},...{
aee40 20 22 72 73 72 2e 61 63 63 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 ."rsr.acchi",.ICLASS_xt_iclass_r
aee60 73 72 5f 61 63 63 68 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f sr_acchi,.....0,.....Opcode_rsr_
aee80 61 63 63 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 acchi_encode_fns,.0,.0.},...{."w
aeea0 73 72 2e 61 63 63 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f sr.acchi",.ICLASS_xt_iclass_wsr_
aeec0 61 63 63 68 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 61 63 63 acchi,.....0,.....Opcode_wsr_acc
aeee0 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e hi_encode_fns,.0,.0.},...{."xsr.
aef00 61 63 63 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 61 63 63 acchi",.ICLASS_xt_iclass_xsr_acc
aef20 68 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 61 63 63 68 69 5f hi,.....0,.....Opcode_xsr_acchi_
aef40 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 69 22 2c 20 49 encode_fns,.0,.0.},...{."rfi",.I
aef60 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 69 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f CLASS_xt_iclass_rfi,.....XTENSA_
aef80 4f 50 43 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 69 5f 65 OPCODE_IS_JUMP,.....Opcode_rfi_e
aefa0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 61 69 74 69 22 2c 20 ncode_fns,.0,.0.},...{."waiti",.
aefc0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 61 69 74 2c 0a 20 20 20 20 30 2c 0a 20 20 ICLASS_xt_iclass_wait,.....0,...
aefe0 20 20 4f 70 63 6f 64 65 5f 77 61 69 74 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ..Opcode_waiti_encode_fns,.0,.0.
af000 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 69 6e 74 65 72 72 75 70 74 22 2c 20 49 43 4c 41 53 53 5f 78 },...{."rsr.interrupt",.ICLASS_x
af020 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 2c 0a 20 20 20 20 30 2c 0a 20 t_iclass_rsr_interrupt,.....0,..
af040 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 65 72 72 75 70 74 5f 65 6e 63 6f 64 65 5f 66 ...Opcode_rsr_interrupt_encode_f
af060 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 6e 74 73 65 74 22 2c 20 49 43 ns,.0,.0.},...{."wsr.intset",.IC
af080 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 73 65 74 2c 0a 20 20 20 20 30 LASS_xt_iclass_wsr_intset,.....0
af0a0 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 73 65 74 5f 65 6e 63 6f 64 65 5f 66 ,.....Opcode_wsr_intset_encode_f
af0c0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 6e 74 63 6c 65 61 72 22 2c 20 ns,.0,.0.},...{."wsr.intclear",.
af0e0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 2c 0a 20 ICLASS_xt_iclass_wsr_intclear,..
af100 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 6e 74 63 6c 65 61 72 5f 65 6e ...0,.....Opcode_wsr_intclear_en
af120 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 69 6e 74 65 6e code_fns,.0,.0.},...{."rsr.inten
af140 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 6e 74 65 able",.ICLASS_xt_iclass_rsr_inte
af160 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 6e 74 nable,.....0,.....Opcode_rsr_int
af180 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 enable_encode_fns,.0,.0.},...{."
af1a0 77 73 72 2e 69 6e 74 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 wsr.intenable",.ICLASS_xt_iclass
af1c0 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 _wsr_intenable,.....0,.....Opcod
af1e0 65 5f 77 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 e_wsr_intenable_encode_fns,.0,.0
af200 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 69 6e 74 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f .},...{."xsr.intenable",.ICLASS_
af220 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a xt_iclass_xsr_intenable,.....0,.
af240 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 6e 74 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f ....Opcode_xsr_intenable_encode_
af260 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 62 72 65 61 6b 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."break",.ICLASS
af280 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 65 61 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _xt_iclass_break,.....0,.....Opc
af2a0 6f 64 65 5f 62 72 65 61 6b 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 ode_break_encode_fns,.0,.0.},...
af2c0 7b 20 22 62 72 65 61 6b 2e 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 62 72 {."break.n",.ICLASS_xt_iclass_br
af2e0 65 61 6b 5f 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 62 72 65 61 6b 5f 6e eak_n,.....0,.....Opcode_break_n
af300 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 62 _encode_fns,.0,.0.},...{."rsr.db
af320 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 reaka0",.ICLASS_xt_iclass_rsr_db
af340 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 reaka0,.....0,.....Opcode_rsr_db
af360 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 reaka0_encode_fns,.0,.0.},...{."
af380 77 73 72 2e 64 62 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f wsr.dbreaka0",.ICLASS_xt_iclass_
af3a0 77 73 72 5f 64 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f wsr_dbreaka0,.....0,.....Opcode_
af3c0 77 73 72 5f 64 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c wsr_dbreaka0_encode_fns,.0,.0.},
af3e0 0a 20 20 7b 20 22 78 73 72 2e 64 62 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ...{."xsr.dbreaka0",.ICLASS_xt_i
af400 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f class_xsr_dbreaka0,.....0,.....O
af420 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 pcode_xsr_dbreaka0_encode_fns,.0
af440 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 62 72 65 61 6b 63 30 22 2c 20 49 43 4c 41 53 ,.0.},...{."rsr.dbreakc0",.ICLAS
af460 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 2c 0a 20 20 20 20 30 2c S_xt_iclass_rsr_dbreakc0,.....0,
af480 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 30 5f 65 6e 63 6f 64 65 5f .....Opcode_rsr_dbreakc0_encode_
af4a0 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 64 62 72 65 61 6b 63 30 22 2c fns,.0,.0.},...{."wsr.dbreakc0",
af4c0 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 2c 0a .ICLASS_xt_iclass_wsr_dbreakc0,.
af4e0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 63 30 5f 65 ....0,.....Opcode_wsr_dbreakc0_e
af500 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 62 72 65 ncode_fns,.0,.0.},...{."xsr.dbre
af520 61 6b 63 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 akc0",.ICLASS_xt_iclass_xsr_dbre
af540 61 6b 63 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 akc0,.....0,.....Opcode_xsr_dbre
af560 61 6b 63 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 akc0_encode_fns,.0,.0.},...{."rs
af580 72 2e 64 62 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 r.dbreaka1",.ICLASS_xt_iclass_rs
af5a0 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 r_dbreaka1,.....0,.....Opcode_rs
af5c0 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 r_dbreaka1_encode_fns,.0,.0.},..
af5e0 20 7b 20 22 77 73 72 2e 64 62 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c .{."wsr.dbreaka1",.ICLASS_xt_icl
af600 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 ass_wsr_dbreaka1,.....0,.....Opc
af620 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ode_wsr_dbreaka1_encode_fns,.0,.
af640 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 62 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 0.},...{."xsr.dbreaka1",.ICLASS_
af660 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 xt_iclass_xsr_dbreaka1,.....0,..
af680 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e ...Opcode_xsr_dbreaka1_encode_fn
af6a0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 62 72 65 61 6b 63 31 22 2c 20 49 s,.0,.0.},...{."rsr.dbreakc1",.I
af6c0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 2c 0a 20 20 CLASS_xt_iclass_rsr_dbreakc1,...
af6e0 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 62 72 65 61 6b 63 31 5f 65 6e 63 ..0,.....Opcode_rsr_dbreakc1_enc
af700 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 64 62 72 65 61 6b ode_fns,.0,.0.},...{."wsr.dbreak
af720 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 62 72 65 61 6b c1",.ICLASS_xt_iclass_wsr_dbreak
af740 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 62 72 65 61 6b c1,.....0,.....Opcode_wsr_dbreak
af760 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e c1_encode_fns,.0,.0.},...{."xsr.
af780 64 62 72 65 61 6b 63 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f dbreakc1",.ICLASS_xt_iclass_xsr_
af7a0 64 62 72 65 61 6b 63 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f dbreakc1,.....0,.....Opcode_xsr_
af7c0 64 62 72 65 61 6b 63 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b dbreakc1_encode_fns,.0,.0.},...{
af7e0 20 22 72 73 72 2e 69 62 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 ."rsr.ibreaka0",.ICLASS_xt_iclas
af800 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 s_rsr_ibreaka0,.....0,.....Opcod
af820 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 e_rsr_ibreaka0_encode_fns,.0,.0.
af840 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 62 72 65 61 6b 61 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 },...{."wsr.ibreaka0",.ICLASS_xt
af860 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 _iclass_wsr_ibreaka0,.....0,....
af880 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c .Opcode_wsr_ibreaka0_encode_fns,
af8a0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 69 62 72 65 61 6b 61 30 22 2c 20 49 43 4c .0,.0.},...{."xsr.ibreaka0",.ICL
af8c0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 2c 0a 20 20 20 20 ASS_xt_iclass_xsr_ibreaka0,.....
af8e0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 61 30 5f 65 6e 63 6f 64 0,.....Opcode_xsr_ibreaka0_encod
af900 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 69 62 72 65 61 6b 61 31 e_fns,.0,.0.},...{."rsr.ibreaka1
af920 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 ",.ICLASS_xt_iclass_rsr_ibreaka1
af940 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 61 31 ,.....0,.....Opcode_rsr_ibreaka1
af960 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 62 _encode_fns,.0,.0.},...{."wsr.ib
af980 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 62 reaka1",.ICLASS_xt_iclass_wsr_ib
af9a0 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 62 reaka1,.....0,.....Opcode_wsr_ib
af9c0 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 reaka1_encode_fns,.0,.0.},...{."
af9e0 78 73 72 2e 69 62 72 65 61 6b 61 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f xsr.ibreaka1",.ICLASS_xt_iclass_
afa00 78 73 72 5f 69 62 72 65 61 6b 61 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f xsr_ibreaka1,.....0,.....Opcode_
afa20 78 73 72 5f 69 62 72 65 61 6b 61 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c xsr_ibreaka1_encode_fns,.0,.0.},
afa40 0a 20 20 7b 20 22 72 73 72 2e 69 62 72 65 61 6b 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f ...{."rsr.ibreakenable",.ICLASS_
afa60 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 2c 0a 20 20 20 20 xt_iclass_rsr_ibreakenable,.....
afa80 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 65 0,.....Opcode_rsr_ibreakenable_e
afaa0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 62 72 65 ncode_fns,.0,.0.},...{."wsr.ibre
afac0 61 6b 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f akenable",.ICLASS_xt_iclass_wsr_
afae0 69 62 72 65 61 6b 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f ibreakenable,.....0,.....Opcode_
afb00 77 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 wsr_ibreakenable_encode_fns,.0,.
afb20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 69 62 72 65 61 6b 65 6e 61 62 6c 65 22 2c 20 49 43 4c 0.},...{."xsr.ibreakenable",.ICL
afb40 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 6c 65 2c 0a ASS_xt_iclass_xsr_ibreakenable,.
afb60 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 62 72 65 61 6b 65 6e 61 62 ....0,.....Opcode_xsr_ibreakenab
afb80 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e le_encode_fns,.0,.0.},...{."rsr.
afba0 64 65 62 75 67 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 debugcause",.ICLASS_xt_iclass_rs
afbc0 72 5f 64 65 62 75 67 63 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f r_debugcause,.....0,.....Opcode_
afbe0 72 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 rsr_debugcause_encode_fns,.0,.0.
afc00 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 64 65 62 75 67 63 61 75 73 65 22 2c 20 49 43 4c 41 53 53 5f },...{."wsr.debugcause",.ICLASS_
afc20 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 2c 0a 20 20 20 20 30 2c xt_iclass_wsr_debugcause,.....0,
afc40 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 65 62 75 67 63 61 75 73 65 5f 65 6e 63 6f 64 .....Opcode_wsr_debugcause_encod
afc60 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 65 62 75 67 63 61 75 e_fns,.0,.0.},...{."xsr.debugcau
afc80 73 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 65 62 75 67 63 se",.ICLASS_xt_iclass_xsr_debugc
afca0 61 75 73 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 65 62 75 ause,.....0,.....Opcode_xsr_debu
afcc0 67 63 61 75 73 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 gcause_encode_fns,.0,.0.},...{."
afce0 72 73 72 2e 69 63 6f 75 6e 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 rsr.icount",.ICLASS_xt_iclass_rs
afd00 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f r_icount,.....0,.....Opcode_rsr_
afd20 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 icount_encode_fns,.0,.0.},...{."
afd40 77 73 72 2e 69 63 6f 75 6e 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 wsr.icount",.ICLASS_xt_iclass_ws
afd60 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f r_icount,.....0,.....Opcode_wsr_
afd80 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 icount_encode_fns,.0,.0.},...{."
afda0 78 73 72 2e 69 63 6f 75 6e 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 xsr.icount",.ICLASS_xt_iclass_xs
afdc0 72 5f 69 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f r_icount,.....0,.....Opcode_xsr_
afde0 69 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 icount_encode_fns,.0,.0.},...{."
afe00 72 73 72 2e 69 63 6f 75 6e 74 6c 65 76 65 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 rsr.icountlevel",.ICLASS_xt_icla
afe20 73 73 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f ss_rsr_icountlevel,.....0,.....O
afe40 70 63 6f 64 65 5f 72 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 pcode_rsr_icountlevel_encode_fns
afe60 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 63 6f 75 6e 74 6c 65 76 65 6c 22 2c ,.0,.0.},...{."wsr.icountlevel",
afe80 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 .ICLASS_xt_iclass_wsr_icountleve
afea0 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 69 63 6f 75 6e 74 6c l,.....0,.....Opcode_wsr_icountl
afec0 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 evel_encode_fns,.0,.0.},...{."xs
afee0 72 2e 69 63 6f 75 6e 74 6c 65 76 65 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 r.icountlevel",.ICLASS_xt_iclass
aff00 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 _xsr_icountlevel,.....0,.....Opc
aff20 6f 64 65 5f 78 73 72 5f 69 63 6f 75 6e 74 6c 65 76 65 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ode_xsr_icountlevel_encode_fns,.
aff40 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 0,.0.},...{."rsr.ddr",.ICLASS_xt
aff60 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f _iclass_rsr_ddr,.....0,.....Opco
aff80 64 65 5f 72 73 72 5f 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 de_rsr_ddr_encode_fns,.0,.0.},..
affa0 20 7b 20 22 77 73 72 2e 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 .{."wsr.ddr",.ICLASS_xt_iclass_w
affc0 73 72 5f 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 64 sr_ddr,.....0,.....Opcode_wsr_dd
affe0 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 r_encode_fns,.0,.0.},...{."xsr.d
b0000 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 64 72 2c 0a 20 dr",.ICLASS_xt_iclass_xsr_ddr,..
b0020 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 64 72 5f 65 6e 63 6f 64 65 5f ...0,.....Opcode_xsr_ddr_encode_
b0040 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 64 6f 22 2c 20 49 43 4c 41 53 53 5f fns,.0,.0.},...{."rfdo",.ICLASS_
b0060 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 6f 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 xt_iclass_rfdo,.....XTENSA_OPCOD
b0080 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 64 6f 5f 65 6e 63 6f 64 E_IS_JUMP,.....Opcode_rfdo_encod
b00a0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 66 64 64 22 2c 20 49 43 4c 41 53 e_fns,.0,.0.},...{."rfdd",.ICLAS
b00c0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 66 64 64 2c 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 S_xt_iclass_rfdd,.....XTENSA_OPC
b00e0 4f 44 45 5f 49 53 5f 4a 55 4d 50 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 66 64 64 5f 65 6e 63 ODE_IS_JUMP,.....Opcode_rfdd_enc
b0100 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 6d 6d 69 64 22 2c ode_fns,.0,.0.},...{."wsr.mmid",
b0120 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 6d 6d 69 64 2c 0a 20 20 20 20 .ICLASS_xt_iclass_wsr_mmid,.....
b0140 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 6d 6d 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 0,.....Opcode_wsr_mmid_encode_fn
b0160 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 63 63 6f 75 6e 74 22 2c 20 49 43 4c s,.0,.0.},...{."rsr.ccount",.ICL
b0180 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c ASS_xt_iclass_rsr_ccount,.....0,
b01a0 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e .....Opcode_rsr_ccount_encode_fn
b01c0 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 63 6f 75 6e 74 22 2c 20 49 43 4c s,.0,.0.},...{."wsr.ccount",.ICL
b01e0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c ASS_xt_iclass_wsr_ccount,.....0,
b0200 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e .....Opcode_wsr_ccount_encode_fn
b0220 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 63 63 6f 75 6e 74 22 2c 20 49 43 4c s,.0,.0.},...{."xsr.ccount",.ICL
b0240 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f 75 6e 74 2c 0a 20 20 20 20 30 2c ASS_xt_iclass_xsr_ccount,.....0,
b0260 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 6f 75 6e 74 5f 65 6e 63 6f 64 65 5f 66 6e .....Opcode_xsr_ccount_encode_fn
b0280 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 63 63 6f 6d 70 61 72 65 30 22 2c 20 s,.0,.0.},...{."rsr.ccompare0",.
b02a0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a ICLASS_xt_iclass_rsr_ccompare0,.
b02c0 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f ....0,.....Opcode_rsr_ccompare0_
b02e0 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 63 6f encode_fns,.0,.0.},...{."wsr.cco
b0300 6d 70 61 72 65 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 mpare0",.ICLASS_xt_iclass_wsr_cc
b0320 6f 6d 70 61 72 65 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 ompare0,.....0,.....Opcode_wsr_c
b0340 63 6f 6d 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b compare0_encode_fns,.0,.0.},...{
b0360 20 22 78 73 72 2e 63 63 6f 6d 70 61 72 65 30 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 ."xsr.ccompare0",.ICLASS_xt_icla
b0380 73 73 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 ss_xsr_ccompare0,.....0,.....Opc
b03a0 6f 64 65 5f 78 73 72 5f 63 63 6f 6d 70 61 72 65 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ode_xsr_ccompare0_encode_fns,.0,
b03c0 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 63 63 6f 6d 70 61 72 65 31 22 2c 20 49 43 4c 41 53 .0.},...{."rsr.ccompare1",.ICLAS
b03e0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 2c 0a 20 20 20 20 30 S_xt_iclass_rsr_ccompare1,.....0
b0400 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 ,.....Opcode_rsr_ccompare1_encod
b0420 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 63 6f 6d 70 61 72 65 e_fns,.0,.0.},...{."wsr.ccompare
b0440 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 1",.ICLASS_xt_iclass_wsr_ccompar
b0460 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 e1,.....0,.....Opcode_wsr_ccompa
b0480 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 re1_encode_fns,.0,.0.},...{."xsr
b04a0 2e 63 63 6f 6d 70 61 72 65 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 .ccompare1",.ICLASS_xt_iclass_xs
b04c0 72 5f 63 63 6f 6d 70 61 72 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 r_ccompare1,.....0,.....Opcode_x
b04e0 73 72 5f 63 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c sr_ccompare1_encode_fns,.0,.0.},
b0500 0a 20 20 7b 20 22 72 73 72 2e 63 63 6f 6d 70 61 72 65 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f ...{."rsr.ccompare2",.ICLASS_xt_
b0520 69 63 6c 61 73 73 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 iclass_rsr_ccompare2,.....0,....
b0540 20 4f 70 63 6f 64 65 5f 72 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_rsr_ccompare2_encode_fns
b0560 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 63 6f 6d 70 61 72 65 32 22 2c 20 49 ,.0,.0.},...{."wsr.ccompare2",.I
b0580 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 2c 0a 20 CLASS_xt_iclass_wsr_ccompare2,..
b05a0 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 63 6f 6d 70 61 72 65 32 5f 65 ...0,.....Opcode_wsr_ccompare2_e
b05c0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 63 63 6f 6d ncode_fns,.0,.0.},...{."xsr.ccom
b05e0 70 61 72 65 32 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 63 6f pare2",.ICLASS_xt_iclass_xsr_cco
b0600 6d 70 61 72 65 32 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 63 mpare2,.....0,.....Opcode_xsr_cc
b0620 6f 6d 70 61 72 65 32 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ompare2_encode_fns,.0,.0.},...{.
b0640 22 69 70 66 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 2c 0a "ipf",.ICLASS_xt_iclass_icache,.
b0660 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 70 66 5f 65 6e 63 6f 64 65 5f 66 6e 73 ....0,.....Opcode_ipf_encode_fns
b0680 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ,.0,.0.},...{."ihi",.ICLASS_xt_i
b06a0 63 6c 61 73 73 5f 69 63 61 63 68 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f class_icache,.....0,.....Opcode_
b06c0 69 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 70 66 ihi_encode_fns,.0,.0.},...{."ipf
b06e0 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f 6c 6f 63 6b l",.ICLASS_xt_iclass_icache_lock
b0700 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 70 66 6c 5f 65 6e 63 6f 64 65 5f ,.....0,.....Opcode_ipfl_encode_
b0720 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 68 75 22 2c 20 49 43 4c 41 53 53 5f 78 fns,.0,.0.},...{."ihu",.ICLASS_x
b0740 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f 6c 6f 63 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 t_iclass_icache_lock,.....0,....
b0760 20 4f 70 63 6f 64 65 5f 69 68 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a .Opcode_ihu_encode_fns,.0,.0.},.
b0780 20 20 7b 20 22 69 69 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 ..{."iiu",.ICLASS_xt_iclass_icac
b07a0 68 65 5f 6c 6f 63 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 69 75 5f 65 he_lock,.....0,.....Opcode_iiu_e
b07c0 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 69 69 22 2c 20 49 43 ncode_fns,.0,.0.},...{."iii",.IC
b07e0 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 63 61 63 68 65 5f 69 6e 76 2c 0a 20 20 20 20 30 LASS_xt_iclass_icache_inv,.....0
b0800 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 69 69 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ,.....Opcode_iii_encode_fns,.0,.
b0820 30 20 7d 2c 0a 20 20 7b 20 22 6c 69 63 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 0.},...{."lict",.ICLASS_xt_iclas
b0840 73 5f 6c 69 63 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 69 63 74 5f 65 s_licx,.....0,.....Opcode_lict_e
b0860 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 69 63 77 22 2c 20 49 ncode_fns,.0,.0.},...{."licw",.I
b0880 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6c 69 63 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 CLASS_xt_iclass_licx,.....0,....
b08a0 20 4f 70 63 6f 64 65 5f 6c 69 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c .Opcode_licw_encode_fns,.0,.0.},
b08c0 0a 20 20 7b 20 22 73 69 63 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 ...{."sict",.ICLASS_xt_iclass_si
b08e0 63 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 69 63 74 5f 65 6e 63 6f 64 cx,.....0,.....Opcode_sict_encod
b0900 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 69 63 77 22 2c 20 49 43 4c 41 53 e_fns,.0,.0.},...{."sicw",.ICLAS
b0920 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 69 63 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 S_xt_iclass_sicx,.....0,.....Opc
b0940 6f 64 65 5f 73 69 63 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b ode_sicw_encode_fns,.0,.0.},...{
b0960 20 22 64 68 77 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 ."dhwb",.ICLASS_xt_iclass_dcache
b0980 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 68 77 62 5f 65 6e 63 6f 64 65 5f ,.....0,.....Opcode_dhwb_encode_
b09a0 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 68 77 62 69 22 2c 20 49 43 4c 41 53 53 fns,.0,.0.},...{."dhwbi",.ICLASS
b09c0 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 _xt_iclass_dcache,.....0,.....Op
b09e0 63 6f 64 65 5f 64 68 77 62 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 code_dhwbi_encode_fns,.0,.0.},..
b0a00 20 7b 20 22 64 69 77 62 75 69 2e 70 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f .{."diwbui.p",.ICLASS_xt_iclass_
b0a20 64 63 61 63 68 65 5f 64 79 6e 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 69 dcache_dyn,.....0,.....Opcode_di
b0a40 77 62 75 69 5f 70 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 wbui_p_encode_fns,.0,.0.},...{."
b0a60 64 69 77 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 diwb",.ICLASS_xt_iclass_dcache_i
b0a80 6e 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 5f 65 6e 63 6f 64 nd,.....0,.....Opcode_diwb_encod
b0aa0 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 69 77 62 69 22 2c 20 49 43 4c 41 e_fns,.0,.0.},...{."diwbi",.ICLA
b0ac0 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 64 2c 0a 20 20 20 20 30 2c 0a SS_xt_iclass_dcache_ind,.....0,.
b0ae0 20 20 20 20 4f 70 63 6f 64 65 5f 64 69 77 62 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ....Opcode_diwbi_encode_fns,.0,.
b0b00 30 20 7d 2c 0a 20 20 7b 20 22 64 68 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 0.},...{."dhi",.ICLASS_xt_iclass
b0b20 5f 64 63 61 63 68 65 5f 69 6e 76 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 _dcache_inv,.....0,.....Opcode_d
b0b40 68 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 69 69 22 hi_encode_fns,.0,.0.},...{."dii"
b0b60 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 69 6e 76 2c 0a 20 ,.ICLASS_xt_iclass_dcache_inv,..
b0b80 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 69 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_dii_encode_fns,
b0ba0 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 70 66 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .0,.0.},...{."dpfr",.ICLASS_xt_i
b0bc0 63 6c 61 73 73 5f 64 70 66 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 70 66 class_dpf,.....0,.....Opcode_dpf
b0be0 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 70 66 77 22 r_encode_fns,.0,.0.},...{."dpfw"
b0c00 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 70 66 2c 0a 20 20 20 20 30 2c 0a 20 ,.ICLASS_xt_iclass_dpf,.....0,..
b0c20 20 20 20 4f 70 63 6f 64 65 5f 64 70 66 77 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 ...Opcode_dpfw_encode_fns,.0,.0.
b0c40 7d 2c 0a 20 20 7b 20 22 64 70 66 72 6f 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 },...{."dpfro",.ICLASS_xt_iclass
b0c60 5f 64 70 66 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 70 66 72 6f 5f 65 6e _dpf,.....0,.....Opcode_dpfro_en
b0c80 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 70 66 77 6f 22 2c 20 49 code_fns,.0,.0.},...{."dpfwo",.I
b0ca0 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 70 66 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 CLASS_xt_iclass_dpf,.....0,.....
b0cc0 4f 70 63 6f 64 65 5f 64 70 66 77 6f 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c Opcode_dpfwo_encode_fns,.0,.0.},
b0ce0 0a 20 20 7b 20 22 64 70 66 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 ...{."dpfl",.ICLASS_xt_iclass_dc
b0d00 61 63 68 65 5f 6c 6f 63 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 70 66 ache_lock,.....0,.....Opcode_dpf
b0d20 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 68 75 22 2c l_encode_fns,.0,.0.},...{."dhu",
b0d40 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c 6f 63 6b 2c 0a 20 .ICLASS_xt_iclass_dcache_lock,..
b0d60 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 64 68 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_dhu_encode_fns,
b0d80 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 64 69 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 .0,.0.},...{."diu",.ICLASS_xt_ic
b0da0 6c 61 73 73 5f 64 63 61 63 68 65 5f 6c 6f 63 6b 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 lass_dcache_lock,.....0,.....Opc
b0dc0 6f 64 65 5f 64 69 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 ode_diu_encode_fns,.0,.0.},...{.
b0de0 22 73 64 63 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 64 63 74 2c 0a 20 "sdct",.ICLASS_xt_iclass_sdct,..
b0e00 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 64 63 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 ...0,.....Opcode_sdct_encode_fns
b0e20 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6c 64 63 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f ,.0,.0.},...{."ldct",.ICLASS_xt_
b0e40 69 63 6c 61 73 73 5f 6c 64 63 74 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c iclass_ldct,.....0,.....Opcode_l
b0e60 64 63 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 dct_encode_fns,.0,.0.},...{."wsr
b0e80 2e 70 74 65 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 .ptevaddr",.ICLASS_xt_iclass_wsr
b0ea0 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 _ptevaddr,.....0,.....Opcode_wsr
b0ec0 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 _ptevaddr_encode_fns,.0,.0.},...
b0ee0 7b 20 22 72 73 72 2e 70 74 65 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 {."rsr.ptevaddr",.ICLASS_xt_icla
b0f00 73 73 5f 72 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f ss_rsr_ptevaddr,.....0,.....Opco
b0f20 64 65 5f 72 73 72 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 de_rsr_ptevaddr_encode_fns,.0,.0
b0f40 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 70 74 65 76 61 64 64 72 22 2c 20 49 43 4c 41 53 53 5f 78 .},...{."xsr.ptevaddr",.ICLASS_x
b0f60 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 70 74 65 76 61 64 64 72 2c 0a 20 20 20 20 30 2c 0a 20 20 t_iclass_xsr_ptevaddr,.....0,...
b0f80 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 70 74 65 76 61 64 64 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 ..Opcode_xsr_ptevaddr_encode_fns
b0fa0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 72 61 73 69 64 22 2c 20 49 43 4c 41 53 ,.0,.0.},...{."rsr.rasid",.ICLAS
b0fc0 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 72 61 73 69 64 2c 0a 20 20 20 20 30 2c 0a 20 20 S_xt_iclass_rsr_rasid,.....0,...
b0fe0 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 ..Opcode_rsr_rasid_encode_fns,.0
b1000 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 72 61 73 69 64 22 2c 20 49 43 4c 41 53 53 5f 78 ,.0.},...{."wsr.rasid",.ICLASS_x
b1020 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 72 61 73 69 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f t_iclass_wsr_rasid,.....0,.....O
b1040 70 63 6f 64 65 5f 77 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 pcode_wsr_rasid_encode_fns,.0,.0
b1060 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 72 61 73 69 64 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 .},...{."xsr.rasid",.ICLASS_xt_i
b1080 63 6c 61 73 73 5f 78 73 72 5f 72 61 73 69 64 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f class_xsr_rasid,.....0,.....Opco
b10a0 64 65 5f 78 73 72 5f 72 61 73 69 64 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c de_xsr_rasid_encode_fns,.0,.0.},
b10c0 0a 20 20 7b 20 22 72 73 72 2e 69 74 6c 62 63 66 67 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ...{."rsr.itlbcfg",.ICLASS_xt_ic
b10e0 6c 61 73 73 5f 72 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 lass_rsr_itlbcfg,.....0,.....Opc
b1100 6f 64 65 5f 72 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ode_rsr_itlbcfg_encode_fns,.0,.0
b1120 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 69 74 6c 62 63 66 67 22 2c 20 49 43 4c 41 53 53 5f 78 74 .},...{."wsr.itlbcfg",.ICLASS_xt
b1140 5f 69 63 6c 61 73 73 5f 77 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 _iclass_wsr_itlbcfg,.....0,.....
b1160 4f 70 63 6f 64 65 5f 77 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 Opcode_wsr_itlbcfg_encode_fns,.0
b1180 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 69 74 6c 62 63 66 67 22 2c 20 49 43 4c 41 53 53 ,.0.},...{."xsr.itlbcfg",.ICLASS
b11a0 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 69 74 6c 62 63 66 67 2c 0a 20 20 20 20 30 2c 0a 20 _xt_iclass_xsr_itlbcfg,.....0,..
b11c0 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 69 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f 66 6e 73 ...Opcode_xsr_itlbcfg_encode_fns
b11e0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 64 74 6c 62 63 66 67 22 2c 20 49 43 4c ,.0,.0.},...{."rsr.dtlbcfg",.ICL
b1200 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 20 20 30 ASS_xt_iclass_rsr_dtlbcfg,.....0
b1220 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 64 74 6c 62 63 66 67 5f 65 6e 63 6f 64 65 5f ,.....Opcode_rsr_dtlbcfg_encode_
b1240 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 64 74 6c 62 63 66 67 22 2c 20 fns,.0,.0.},...{."wsr.dtlbcfg",.
b1260 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 64 74 6c 62 63 66 67 2c 0a 20 20 ICLASS_xt_iclass_wsr_dtlbcfg,...
b1280 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 64 74 6c 62 63 66 67 5f 65 6e 63 6f ..0,.....Opcode_wsr_dtlbcfg_enco
b12a0 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 64 74 6c 62 63 66 67 de_fns,.0,.0.},...{."xsr.dtlbcfg
b12c0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 64 74 6c 62 63 66 67 2c ",.ICLASS_xt_iclass_xsr_dtlbcfg,
b12e0 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 64 74 6c 62 63 66 67 5f 65 .....0,.....Opcode_xsr_dtlbcfg_e
b1300 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 64 74 6c 62 22 2c 20 ncode_fns,.0,.0.},...{."idtlb",.
b1320 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 69 64 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 ICLASS_xt_iclass_idtlb,.....0,..
b1340 20 20 20 4f 70 63 6f 64 65 5f 69 64 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 ...Opcode_idtlb_encode_fns,.0,.0
b1360 20 7d 2c 0a 20 20 7b 20 22 70 64 74 6c 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 .},...{."pdtlb",.ICLASS_xt_iclas
b1380 73 5f 72 64 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 70 64 74 6c 62 s_rdtlb,.....0,.....Opcode_pdtlb
b13a0 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 64 74 6c 62 30 _encode_fns,.0,.0.},...{."rdtlb0
b13c0 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 64 74 6c 62 2c 0a 20 20 20 20 30 ",.ICLASS_xt_iclass_rdtlb,.....0
b13e0 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 64 74 6c 62 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 ,.....Opcode_rdtlb0_encode_fns,.
b1400 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 64 74 6c 62 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 0,.0.},...{."rdtlb1",.ICLASS_xt_
b1420 69 63 6c 61 73 73 5f 72 64 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f iclass_rdtlb,.....0,.....Opcode_
b1440 72 64 74 6c 62 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 rdtlb1_encode_fns,.0,.0.},...{."
b1460 77 64 74 6c 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 64 74 6c 62 2c 0a wdtlb",.ICLASS_xt_iclass_wdtlb,.
b1480 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 64 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 ....0,.....Opcode_wdtlb_encode_f
b14a0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 69 69 74 6c 62 22 2c 20 49 43 4c 41 53 53 5f ns,.0,.0.},...{."iitlb",.ICLASS_
b14c0 78 74 5f 69 63 6c 61 73 73 5f 69 69 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f xt_iclass_iitlb,.....0,.....Opco
b14e0 64 65 5f 69 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b de_iitlb_encode_fns,.0,.0.},...{
b1500 20 22 70 69 74 6c 62 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 ."pitlb",.ICLASS_xt_iclass_ritlb
b1520 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 70 69 74 6c 62 5f 65 6e 63 6f 64 65 ,.....0,.....Opcode_pitlb_encode
b1540 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 69 74 6c 62 30 22 2c 20 49 43 4c 41 _fns,.0,.0.},...{."ritlb0",.ICLA
b1560 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 69 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f SS_xt_iclass_ritlb,.....0,.....O
b1580 70 63 6f 64 65 5f 72 69 74 6c 62 30 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c pcode_ritlb0_encode_fns,.0,.0.},
b15a0 0a 20 20 7b 20 22 72 69 74 6c 62 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f ...{."ritlb1",.ICLASS_xt_iclass_
b15c0 72 69 74 6c 62 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 69 74 6c 62 31 5f ritlb,.....0,.....Opcode_ritlb1_
b15e0 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 69 74 6c 62 22 2c encode_fns,.0,.0.},...{."witlb",
b1600 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 69 74 6c 62 2c 0a 20 20 20 20 30 2c 0a .ICLASS_xt_iclass_witlb,.....0,.
b1620 20 20 20 20 4f 70 63 6f 64 65 5f 77 69 74 6c 62 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ....Opcode_witlb_encode_fns,.0,.
b1640 30 20 7d 2c 0a 20 20 7b 20 22 6c 64 70 74 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 0.},...{."ldpte",.ICLASS_xt_icla
b1660 73 73 5f 6c 64 70 74 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 64 70 74 ss_ldpte,.....0,.....Opcode_ldpt
b1680 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 68 77 77 69 74 e_encode_fns,.0,.0.},...{."hwwit
b16a0 6c 62 61 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 68 77 77 69 74 6c 62 61 2c lba",.ICLASS_xt_iclass_hwwitlba,
b16c0 0a 20 20 20 20 58 54 45 4e 53 41 5f 4f 50 43 4f 44 45 5f 49 53 5f 42 52 41 4e 43 48 2c 0a 20 20 .....XTENSA_OPCODE_IS_BRANCH,...
b16e0 20 20 4f 70 63 6f 64 65 5f 68 77 77 69 74 6c 62 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ..Opcode_hwwitlba_encode_fns,.0,
b1700 20 30 20 7d 2c 0a 20 20 7b 20 22 68 77 77 64 74 6c 62 61 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f .0.},...{."hwwdtlba",.ICLASS_xt_
b1720 69 63 6c 61 73 73 5f 68 77 77 64 74 6c 62 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f iclass_hwwdtlba,.....0,.....Opco
b1740 64 65 5f 68 77 77 64 74 6c 62 61 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a de_hwwdtlba_encode_fns,.0,.0.},.
b1760 20 20 7b 20 22 72 73 72 2e 63 70 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 ..{."rsr.cpenable",.ICLASS_xt_ic
b1780 6c 61 73 73 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 lass_rsr_cpenable,.....0,.....Op
b17a0 63 6f 64 65 5f 72 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c code_rsr_cpenable_encode_fns,.0,
b17c0 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 63 70 65 6e 61 62 6c 65 22 2c 20 49 43 4c 41 53 53 .0.},...{."wsr.cpenable",.ICLASS
b17e0 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 63 70 65 6e 61 62 6c 65 2c 0a 20 20 20 20 30 2c 0a _xt_iclass_wsr_cpenable,.....0,.
b1800 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e 63 6f 64 65 5f 66 ....Opcode_wsr_cpenable_encode_f
b1820 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 63 70 65 6e 61 62 6c 65 22 2c 20 ns,.0,.0.},...{."xsr.cpenable",.
b1840 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 2c 0a 20 ICLASS_xt_iclass_xsr_cpenable,..
b1860 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 63 70 65 6e 61 62 6c 65 5f 65 6e ...0,.....Opcode_xsr_cpenable_en
b1880 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 6c 61 6d 70 73 22 2c 20 code_fns,.0,.0.},...{."clamps",.
b18a0 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 63 6c 61 6d 70 2c 0a 20 20 20 20 30 2c 0a 20 ICLASS_xt_iclass_clamp,.....0,..
b18c0 20 20 20 4f 70 63 6f 64 65 5f 63 6c 61 6d 70 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ...Opcode_clamps_encode_fns,.0,.
b18e0 30 20 7d 2c 0a 20 20 7b 20 22 6d 69 6e 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 0.},...{."min",.ICLASS_xt_iclass
b1900 5f 6d 69 6e 6d 61 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 69 6e 5f 65 _minmax,.....0,.....Opcode_min_e
b1920 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 61 78 22 2c 20 49 43 ncode_fns,.0,.0.},...{."max",.IC
b1940 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d 61 78 2c 0a 20 20 20 20 30 2c 0a 20 20 LASS_xt_iclass_minmax,.....0,...
b1960 20 20 4f 70 63 6f 64 65 5f 6d 61 78 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c ..Opcode_max_encode_fns,.0,.0.},
b1980 0a 20 20 7b 20 22 6d 69 6e 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 ...{."minu",.ICLASS_xt_iclass_mi
b19a0 6e 6d 61 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6d 69 6e 75 5f 65 6e 63 nmax,.....0,.....Opcode_minu_enc
b19c0 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6d 61 78 75 22 2c 20 49 43 4c ode_fns,.0,.0.},...{."maxu",.ICL
b19e0 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6d 69 6e 6d 61 78 2c 0a 20 20 20 20 30 2c 0a 20 20 20 ASS_xt_iclass_minmax,.....0,....
b1a00 20 4f 70 63 6f 64 65 5f 6d 61 78 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c .Opcode_maxu_encode_fns,.0,.0.},
b1a20 0a 20 20 7b 20 22 6e 73 61 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 6e 73 61 ...{."nsa",.ICLASS_xt_iclass_nsa
b1a40 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6e 73 61 5f 65 6e 63 6f 64 65 5f 66 ,.....0,.....Opcode_nsa_encode_f
b1a60 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 6e 73 61 75 22 2c 20 49 43 4c 41 53 53 5f 78 ns,.0,.0.},...{."nsau",.ICLASS_x
b1a80 74 5f 69 63 6c 61 73 73 5f 6e 73 61 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f t_iclass_nsa,.....0,.....Opcode_
b1aa0 6e 73 61 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 65 nsau_encode_fns,.0,.0.},...{."se
b1ac0 78 74 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 78 2c 0a 20 20 20 20 30 2c xt",.ICLASS_xt_iclass_sx,.....0,
b1ae0 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 65 78 74 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 .....Opcode_sext_encode_fns,.0,.
b1b00 30 20 7d 2c 0a 20 20 7b 20 22 6c 33 32 61 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 0.},...{."l32ai",.ICLASS_xt_icla
b1b20 73 73 5f 6c 33 32 61 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 6c 33 32 61 ss_l32ai,.....0,.....Opcode_l32a
b1b40 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 72 69 i_encode_fns,.0,.0.},...{."s32ri
b1b60 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 73 33 32 72 69 2c 0a 20 20 20 20 30 ",.ICLASS_xt_iclass_s32ri,.....0
b1b80 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 73 33 32 72 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 ,.....Opcode_s32ri_encode_fns,.0
b1ba0 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 73 33 32 63 31 69 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 ,.0.},...{."s32c1i",.ICLASS_xt_i
b1bc0 63 6c 61 73 73 5f 73 33 32 63 31 69 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f class_s32c1i,.....0,.....Opcode_
b1be0 73 33 32 63 31 69 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 s32c1i_encode_fns,.0,.0.},...{."
b1c00 72 73 72 2e 73 63 6f 6d 70 61 72 65 31 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 rsr.scompare1",.ICLASS_xt_iclass
b1c20 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 _rsr_scompare1,.....0,.....Opcod
b1c40 65 5f 72 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 e_rsr_scompare1_encode_fns,.0,.0
b1c60 20 7d 2c 0a 20 20 7b 20 22 77 73 72 2e 73 63 6f 6d 70 61 72 65 31 22 2c 20 49 43 4c 41 53 53 5f .},...{."wsr.scompare1",.ICLASS_
b1c80 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 2c 0a 20 20 20 20 30 2c 0a xt_iclass_wsr_scompare1,.....0,.
b1ca0 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f 73 63 6f 6d 70 61 72 65 31 5f 65 6e 63 6f 64 65 5f ....Opcode_wsr_scompare1_encode_
b1cc0 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 78 73 72 2e 73 63 6f 6d 70 61 72 65 31 22 fns,.0,.0.},...{."xsr.scompare1"
b1ce0 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 31 ,.ICLASS_xt_iclass_xsr_scompare1
b1d00 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 73 72 5f 73 63 6f 6d 70 61 72 65 ,.....0,.....Opcode_xsr_scompare
b1d20 31 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 73 72 2e 61 1_encode_fns,.0,.0.},...{."rsr.a
b1d40 74 6f 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 73 72 5f 61 74 tomctl",.ICLASS_xt_iclass_rsr_at
b1d60 6f 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 73 72 5f 61 74 6f omctl,.....0,.....Opcode_rsr_ato
b1d80 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 73 mctl_encode_fns,.0,.0.},...{."ws
b1da0 72 2e 61 74 6f 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 73 72 r.atomctl",.ICLASS_xt_iclass_wsr
b1dc0 5f 61 74 6f 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 73 72 5f _atomctl,.....0,.....Opcode_wsr_
b1de0 61 74 6f 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 atomctl_encode_fns,.0,.0.},...{.
b1e00 22 78 73 72 2e 61 74 6f 6d 63 74 6c 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f "xsr.atomctl",.ICLASS_xt_iclass_
b1e20 78 73 72 5f 61 74 6f 6d 63 74 6c 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 78 xsr_atomctl,.....0,.....Opcode_x
b1e40 73 72 5f 61 74 6f 6d 63 74 6c 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 sr_atomctl_encode_fns,.0,.0.},..
b1e60 20 7b 20 22 71 75 6f 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 69 76 2c .{."quou",.ICLASS_xt_iclass_div,
b1e80 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 71 75 6f 75 5f 65 6e 63 6f 64 65 5f 66 .....0,.....Opcode_quou_encode_f
b1ea0 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 71 75 6f 73 22 2c 20 49 43 4c 41 53 53 5f 78 ns,.0,.0.},...{."quos",.ICLASS_x
b1ec0 74 5f 69 63 6c 61 73 73 5f 64 69 76 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f t_iclass_div,.....0,.....Opcode_
b1ee0 71 75 6f 73 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 65 quos_encode_fns,.0,.0.},...{."re
b1f00 6d 75 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 64 69 76 2c 0a 20 20 20 20 30 mu",.ICLASS_xt_iclass_div,.....0
b1f20 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 65 6d 75 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c ,.....Opcode_remu_encode_fns,.0,
b1f40 20 30 20 7d 2c 0a 20 20 7b 20 22 72 65 6d 73 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 .0.},...{."rems",.ICLASS_xt_icla
b1f60 73 73 5f 64 69 76 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 72 65 6d 73 5f 65 ss_div,.....0,.....Opcode_rems_e
b1f80 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 65 72 22 2c 20 49 43 ncode_fns,.0,.0.},...{."rer",.IC
b1fa0 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 72 65 72 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f LASS_xt_iclass_rer,.....0,.....O
b1fc0 70 63 6f 64 65 5f 72 65 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 pcode_rer_encode_fns,.0,.0.},...
b1fe0 7b 20 22 77 65 72 22 2c 20 49 43 4c 41 53 53 5f 78 74 5f 69 63 6c 61 73 73 5f 77 65 72 2c 0a 20 {."wer",.ICLASS_xt_iclass_wer,..
b2000 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 65 72 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c ...0,.....Opcode_wer_encode_fns,
b2020 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 72 75 72 2e 65 78 70 73 74 61 74 65 22 2c 20 49 43 4c .0,.0.},...{."rur.expstate",.ICL
b2040 41 53 53 5f 72 75 72 5f 65 78 70 73 74 61 74 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 ASS_rur_expstate,.....0,.....Opc
b2060 6f 64 65 5f 72 75 72 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 ode_rur_expstate_encode_fns,.0,.
b2080 30 20 7d 2c 0a 20 20 7b 20 22 77 75 72 2e 65 78 70 73 74 61 74 65 22 2c 20 49 43 4c 41 53 53 5f 0.},...{."wur.expstate",.ICLASS_
b20a0 77 75 72 5f 65 78 70 73 74 61 74 65 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f wur_expstate,.....0,.....Opcode_
b20c0 77 75 72 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c wur_expstate_encode_fns,.0,.0.},
b20e0 0a 20 20 7b 20 22 72 65 61 64 5f 69 6d 70 77 69 72 65 22 2c 20 49 43 4c 41 53 53 5f 69 63 6c 61 ...{."read_impwire",.ICLASS_icla
b2100 73 73 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f ss_READ_IMPWIRE,.....0,.....Opco
b2120 64 65 5f 72 65 61 64 5f 69 6d 70 77 69 72 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 de_read_impwire_encode_fns,.0,.0
b2140 20 7d 2c 0a 20 20 7b 20 22 73 65 74 62 5f 65 78 70 73 74 61 74 65 22 2c 20 49 43 4c 41 53 53 5f .},...{."setb_expstate",.ICLASS_
b2160 69 63 6c 61 73 73 5f 53 45 54 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 20 20 30 2c 0a 20 20 20 iclass_SETB_EXPSTATE,.....0,....
b2180 20 4f 70 63 6f 64 65 5f 73 65 74 62 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 .Opcode_setb_expstate_encode_fns
b21a0 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 63 6c 72 62 5f 65 78 70 73 74 61 74 65 22 2c 20 49 ,.0,.0.},...{."clrb_expstate",.I
b21c0 43 4c 41 53 53 5f 69 63 6c 61 73 73 5f 43 4c 52 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 20 20 CLASS_iclass_CLRB_EXPSTATE,.....
b21e0 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 63 6c 72 62 5f 65 78 70 73 74 61 74 65 5f 65 6e 63 6f 0,.....Opcode_clrb_expstate_enco
b2200 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 2c 0a 20 20 7b 20 22 77 72 6d 73 6b 5f 65 78 70 73 74 de_fns,.0,.0.},...{."wrmsk_expst
b2220 61 74 65 22 2c 20 49 43 4c 41 53 53 5f 69 63 6c 61 73 73 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 ate",.ICLASS_iclass_WRMSK_EXPSTA
b2240 54 45 2c 0a 20 20 20 20 30 2c 0a 20 20 20 20 4f 70 63 6f 64 65 5f 77 72 6d 73 6b 5f 65 78 70 73 TE,.....0,.....Opcode_wrmsk_exps
b2260 74 61 74 65 5f 65 6e 63 6f 64 65 5f 66 6e 73 2c 20 30 2c 20 30 20 7d 0a 7d 3b 0a 0a 65 6e 75 6d tate_encode_fns,.0,.0.}.};..enum
b2280 20 78 74 65 6e 73 61 5f 6f 70 63 6f 64 65 5f 69 64 20 7b 0a 20 20 4f 50 43 4f 44 45 5f 45 58 43 .xtensa_opcode_id.{...OPCODE_EXC
b22a0 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 44 45 2c 0a W,...OPCODE_RFE,...OPCODE_RFDE,.
b22c0 20 20 4f 50 43 4f 44 45 5f 53 59 53 43 41 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 31 ..OPCODE_SYSCALL,...OPCODE_CALL1
b22e0 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 38 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 2,...OPCODE_CALL8,...OPCODE_CALL
b2300 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 31 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4,...OPCODE_CALLX12,...OPCODE_CA
b2320 4c 4c 58 38 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 34 2c 0a 20 20 4f 50 43 4f 44 45 5f LLX8,...OPCODE_CALLX4,...OPCODE_
b2340 45 4e 54 52 59 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 53 50 2c 0a 20 20 4f 50 43 4f 44 45 5f ENTRY,...OPCODE_MOVSP,...OPCODE_
b2360 52 4f 54 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 54 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 ROTW,...OPCODE_RETW,...OPCODE_RE
b2380 54 57 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 57 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 TW_N,...OPCODE_RFWO,...OPCODE_RF
b23a0 57 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 33 32 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 33 32 45 WU,...OPCODE_L32E,...OPCODE_S32E
b23c0 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 2c 0a 20 20 4f 50 43 ,...OPCODE_RSR_WINDOWBASE,...OPC
b23e0 4f 44 45 5f 57 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 ODE_WSR_WINDOWBASE,...OPCODE_XSR
b2400 5f 57 49 4e 44 4f 57 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 57 49 4e 44 4f 57 _WINDOWBASE,...OPCODE_RSR_WINDOW
b2420 53 54 41 52 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 57 49 4e 44 4f 57 53 54 41 52 54 2c START,...OPCODE_WSR_WINDOWSTART,
b2440 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 57 49 4e 44 4f 57 53 54 41 52 54 2c 0a 20 20 4f 50 43 ...OPCODE_XSR_WINDOWSTART,...OPC
b2460 4f 44 45 5f 41 44 44 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 41 44 44 49 5f 4e 2c 0a 20 20 4f 50 ODE_ADD_N,...OPCODE_ADDI_N,...OP
b2480 43 4f 44 45 5f 42 45 51 5a 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 45 5a 5f 4e 2c 0a 20 20 CODE_BEQZ_N,...OPCODE_BNEZ_N,...
b24a0 4f 50 43 4f 44 45 5f 49 4c 4c 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 33 32 49 5f 4e 2c 0a 20 OPCODE_ILL_N,...OPCODE_L32I_N,..
b24c0 20 4f 50 43 4f 44 45 5f 4d 4f 56 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 49 5f 4e 2c 0a .OPCODE_MOV_N,...OPCODE_MOVI_N,.
b24e0 20 20 4f 50 43 4f 44 45 5f 4e 4f 50 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 54 5f 4e 2c 0a ..OPCODE_NOP_N,...OPCODE_RET_N,.
b2500 20 20 4f 50 43 4f 44 45 5f 53 33 32 49 5f 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 55 52 5f 54 48 ..OPCODE_S32I_N,...OPCODE_RUR_TH
b2520 52 45 41 44 50 54 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 55 52 5f 54 48 52 45 41 44 50 54 52 2c READPTR,...OPCODE_WUR_THREADPTR,
b2540 0a 20 20 4f 50 43 4f 44 45 5f 41 44 44 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 41 44 44 4d 49 2c 0a ...OPCODE_ADDI,...OPCODE_ADDMI,.
b2560 20 20 4f 50 43 4f 44 45 5f 41 44 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 55 42 2c 0a 20 20 4f 50 ..OPCODE_ADD,...OPCODE_SUB,...OP
b2580 43 4f 44 45 5f 41 44 44 58 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 41 44 44 58 34 2c 0a 20 20 4f 50 CODE_ADDX2,...OPCODE_ADDX4,...OP
b25a0 43 4f 44 45 5f 41 44 44 58 38 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 55 42 58 32 2c 0a 20 20 4f 50 CODE_ADDX8,...OPCODE_SUBX2,...OP
b25c0 43 4f 44 45 5f 53 55 42 58 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 55 42 58 38 2c 0a 20 20 4f 50 CODE_SUBX4,...OPCODE_SUBX8,...OP
b25e0 43 4f 44 45 5f 41 4e 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 4f 52 2c 0a 20 20 4f 50 43 4f 44 45 5f CODE_AND,...OPCODE_OR,...OPCODE_
b2600 58 4f 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 45 51 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 45 XOR,...OPCODE_BEQI,...OPCODE_BNE
b2620 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 47 45 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4c 54 49 2c I,...OPCODE_BGEI,...OPCODE_BLTI,
b2640 0a 20 20 4f 50 43 4f 44 45 5f 42 42 43 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 42 53 49 2c 0a 20 ...OPCODE_BBCI,...OPCODE_BBSI,..
b2660 20 4f 50 43 4f 44 45 5f 42 47 45 55 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4c 54 55 49 2c 0a 20 .OPCODE_BGEUI,...OPCODE_BLTUI,..
b2680 20 4f 50 43 4f 44 45 5f 42 45 51 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 45 2c 0a 20 20 4f 50 43 .OPCODE_BEQ,...OPCODE_BNE,...OPC
b26a0 4f 44 45 5f 42 47 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4c 54 2c 0a 20 20 4f 50 43 4f 44 45 5f ODE_BGE,...OPCODE_BLT,...OPCODE_
b26c0 42 47 45 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4c 54 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 41 BGEU,...OPCODE_BLTU,...OPCODE_BA
b26e0 4e 59 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 4f 4e 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 41 4c NY,...OPCODE_BNONE,...OPCODE_BAL
b2700 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 4e 41 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 42 43 2c L,...OPCODE_BNALL,...OPCODE_BBC,
b2720 0a 20 20 4f 50 43 4f 44 45 5f 42 42 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 45 51 5a 2c 0a 20 20 ...OPCODE_BBS,...OPCODE_BEQZ,...
b2740 4f 50 43 4f 44 45 5f 42 4e 45 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 47 45 5a 2c 0a 20 20 4f 50 OPCODE_BNEZ,...OPCODE_BGEZ,...OP
b2760 43 4f 44 45 5f 42 4c 54 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 30 2c 0a 20 20 4f 50 43 CODE_BLTZ,...OPCODE_CALL0,...OPC
b2780 4f 44 45 5f 43 41 4c 4c 58 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 45 58 54 55 49 2c 0a 20 20 4f 50 ODE_CALLX0,...OPCODE_EXTUI,...OP
b27a0 43 4f 44 45 5f 49 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4a CODE_ILL,...OPCODE_J,...OPCODE_J
b27c0 58 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 31 36 55 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 31 36 53 X,...OPCODE_L16UI,...OPCODE_L16S
b27e0 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 33 32 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 33 32 52 2c I,...OPCODE_L32I,...OPCODE_L32R,
b2800 0a 20 20 4f 50 43 4f 44 45 5f 4c 38 55 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 2c 0a 20 ...OPCODE_L8UI,...OPCODE_LOOP,..
b2820 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 4e 45 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 47 54 .OPCODE_LOOPNEZ,...OPCODE_LOOPGT
b2840 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 45 51 Z,...OPCODE_MOVI,...OPCODE_MOVEQ
b2860 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 4e 45 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 Z,...OPCODE_MOVNEZ,...OPCODE_MOV
b2880 4c 54 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 4f 56 47 45 5a 2c 0a 20 20 4f 50 43 4f 44 45 5f 4e LTZ,...OPCODE_MOVGEZ,...OPCODE_N
b28a0 45 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 41 42 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 4e 4f 50 2c 0a EG,...OPCODE_ABS,...OPCODE_NOP,.
b28c0 20 20 4f 50 43 4f 44 45 5f 52 45 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 49 4d 43 41 4c 4c 2c 0a ..OPCODE_RET,...OPCODE_SIMCALL,.
b28e0 20 20 4f 50 43 4f 44 45 5f 53 31 36 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 33 32 49 2c 0a 20 20 ..OPCODE_S16I,...OPCODE_S32I,...
b2900 4f 50 43 4f 44 45 5f 53 33 32 4e 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 38 49 2c 0a 20 20 4f 50 OPCODE_S32NB,...OPCODE_S8I,...OP
b2920 43 4f 44 45 5f 53 53 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 53 4c 2c 0a 20 20 4f 50 43 4f 44 45 CODE_SSR,...OPCODE_SSL,...OPCODE
b2940 5f 53 53 41 38 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 53 41 38 42 2c 0a 20 20 4f 50 43 4f 44 45 _SSA8L,...OPCODE_SSA8B,...OPCODE
b2960 5f 53 53 41 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 52 _SSAI,...OPCODE_SLL,...OPCODE_SR
b2980 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 52 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 52 41 2c 0a 20 C,...OPCODE_SRL,...OPCODE_SRA,..
b29a0 20 4f 50 43 4f 44 45 5f 53 4c 4c 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 52 41 49 2c 0a 20 20 4f .OPCODE_SLLI,...OPCODE_SRAI,...O
b29c0 50 43 4f 44 45 5f 53 52 4c 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 45 4d 57 2c 0a 20 20 4f 50 43 PCODE_SRLI,...OPCODE_MEMW,...OPC
b29e0 4f 44 45 5f 45 58 54 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 53 59 4e 43 2c 0a 20 20 4f 50 43 4f ODE_EXTW,...OPCODE_ISYNC,...OPCO
b2a00 44 45 5f 52 53 59 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 45 53 59 4e 43 2c 0a 20 20 4f 50 43 4f DE_RSYNC,...OPCODE_ESYNC,...OPCO
b2a20 44 45 5f 44 53 59 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 49 4c 2c 0a 20 20 4f 50 43 4f 44 DE_DSYNC,...OPCODE_RSIL,...OPCOD
b2a40 45 5f 52 53 52 5f 4c 45 4e 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 45 4e 44 2c 0a 20 E_RSR_LEND,...OPCODE_WSR_LEND,..
b2a60 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4c 45 4e 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c .OPCODE_XSR_LEND,...OPCODE_RSR_L
b2a80 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 43 4f 55 4e 54 2c 0a 20 20 4f 50 COUNT,...OPCODE_WSR_LCOUNT,...OP
b2aa0 43 4f 44 45 5f 58 53 52 5f 4c 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 42 CODE_XSR_LCOUNT,...OPCODE_RSR_LB
b2ac0 45 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 42 45 47 2c 0a 20 20 4f 50 43 4f 44 45 5f EG,...OPCODE_WSR_LBEG,...OPCODE_
b2ae0 58 53 52 5f 4c 42 45 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 53 41 52 2c 0a 20 20 4f 50 XSR_LBEG,...OPCODE_RSR_SAR,...OP
b2b00 43 4f 44 45 5f 57 53 52 5f 53 41 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 53 41 52 2c 0a CODE_WSR_SAR,...OPCODE_XSR_SAR,.
b2b20 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 45 4d 43 54 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 ..OPCODE_RSR_MEMCTL,...OPCODE_WS
b2b40 52 5f 4d 45 4d 43 54 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 45 4d 43 54 4c 2c 0a 20 R_MEMCTL,...OPCODE_XSR_MEMCTL,..
b2b60 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 49 54 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 .OPCODE_RSR_LITBASE,...OPCODE_WS
b2b80 52 5f 4c 49 54 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4c 49 54 42 41 53 45 2c R_LITBASE,...OPCODE_XSR_LITBASE,
b2ba0 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 4f 4e 46 49 47 49 44 30 2c 0a 20 20 4f 50 43 4f 44 ...OPCODE_RSR_CONFIGID0,...OPCOD
b2bc0 45 5f 57 53 52 5f 43 4f 4e 46 49 47 49 44 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 4f E_WSR_CONFIGID0,...OPCODE_RSR_CO
b2be0 4e 46 49 47 49 44 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 50 53 2c 0a 20 20 4f 50 43 4f NFIGID1,...OPCODE_RSR_PS,...OPCO
b2c00 44 45 5f 57 53 52 5f 50 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 50 53 2c 0a 20 20 4f 50 DE_WSR_PS,...OPCODE_XSR_PS,...OP
b2c20 43 4f 44 45 5f 52 53 52 5f 45 50 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 31 CODE_RSR_EPC1,...OPCODE_WSR_EPC1
b2c40 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 ,...OPCODE_XSR_EPC1,...OPCODE_RS
b2c60 52 5f 45 58 43 53 41 56 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 R_EXCSAVE1,...OPCODE_WSR_EXCSAVE
b2c80 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 31 2c 0a 20 20 4f 50 43 4f 1,...OPCODE_XSR_EXCSAVE1,...OPCO
b2ca0 44 45 5f 52 53 52 5f 45 50 43 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 32 2c 0a DE_RSR_EPC2,...OPCODE_WSR_EPC2,.
b2cc0 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f ..OPCODE_XSR_EPC2,...OPCODE_RSR_
b2ce0 45 58 43 53 41 56 45 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 32 2c EXCSAVE2,...OPCODE_WSR_EXCSAVE2,
b2d00 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 32 2c 0a 20 20 4f 50 43 4f 44 45 ...OPCODE_XSR_EXCSAVE2,...OPCODE
b2d20 5f 52 53 52 5f 45 50 43 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 33 2c 0a 20 20 _RSR_EPC3,...OPCODE_WSR_EPC3,...
b2d40 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 OPCODE_XSR_EPC3,...OPCODE_RSR_EX
b2d60 43 53 41 56 45 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 33 2c 0a 20 CSAVE3,...OPCODE_WSR_EXCSAVE3,..
b2d80 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 .OPCODE_XSR_EXCSAVE3,...OPCODE_R
b2da0 53 52 5f 45 50 43 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 34 2c 0a 20 20 4f 50 SR_EPC4,...OPCODE_WSR_EPC4,...OP
b2dc0 43 4f 44 45 5f 58 53 52 5f 45 50 43 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 CODE_XSR_EPC4,...OPCODE_RSR_EXCS
b2de0 41 56 45 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 34 2c 0a 20 20 4f AVE4,...OPCODE_WSR_EXCSAVE4,...O
b2e00 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 PCODE_XSR_EXCSAVE4,...OPCODE_RSR
b2e20 5f 45 50 43 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 35 2c 0a 20 20 4f 50 43 4f _EPC5,...OPCODE_WSR_EPC5,...OPCO
b2e40 44 45 5f 58 53 52 5f 45 50 43 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 DE_XSR_EPC5,...OPCODE_RSR_EXCSAV
b2e60 45 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 35 2c 0a 20 20 4f 50 43 E5,...OPCODE_WSR_EXCSAVE5,...OPC
b2e80 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 ODE_XSR_EXCSAVE5,...OPCODE_RSR_E
b2ea0 50 43 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 36 2c 0a 20 20 4f 50 43 4f 44 45 PC6,...OPCODE_WSR_EPC6,...OPCODE
b2ec0 5f 58 53 52 5f 45 50 43 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 36 _XSR_EPC6,...OPCODE_RSR_EXCSAVE6
b2ee0 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 36 2c 0a 20 20 4f 50 43 4f 44 ,...OPCODE_WSR_EXCSAVE6,...OPCOD
b2f00 45 5f 58 53 52 5f 45 58 43 53 41 56 45 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 E_XSR_EXCSAVE6,...OPCODE_RSR_EPC
b2f20 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 7,...OPCODE_WSR_EPC7,...OPCODE_X
b2f40 53 52 5f 45 50 43 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 37 2c 0a SR_EPC7,...OPCODE_RSR_EXCSAVE7,.
b2f60 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 37 2c 0a 20 20 4f 50 43 4f 44 45 5f ..OPCODE_WSR_EXCSAVE7,...OPCODE_
b2f80 58 53 52 5f 45 58 43 53 41 56 45 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 32 2c XSR_EXCSAVE7,...OPCODE_RSR_EPS2,
b2fa0 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 ...OPCODE_WSR_EPS2,...OPCODE_XSR
b2fc0 5f 45 50 53 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 33 2c 0a 20 20 4f 50 43 4f _EPS2,...OPCODE_RSR_EPS3,...OPCO
b2fe0 44 45 5f 57 53 52 5f 45 50 53 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 33 2c 0a DE_WSR_EPS3,...OPCODE_XSR_EPS3,.
b3000 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f ..OPCODE_RSR_EPS4,...OPCODE_WSR_
b3020 45 50 53 34 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 34 2c 0a 20 20 4f 50 43 4f 44 EPS4,...OPCODE_XSR_EPS4,...OPCOD
b3040 45 5f 52 53 52 5f 45 50 53 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 35 2c 0a 20 E_RSR_EPS5,...OPCODE_WSR_EPS5,..
b3060 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 35 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 .OPCODE_XSR_EPS5,...OPCODE_RSR_E
b3080 50 53 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 36 2c 0a 20 20 4f 50 43 4f 44 45 PS6,...OPCODE_WSR_EPS6,...OPCODE
b30a0 5f 58 53 52 5f 45 50 53 36 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 37 2c 0a 20 20 _XSR_EPS6,...OPCODE_RSR_EPS7,...
b30c0 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 OPCODE_WSR_EPS7,...OPCODE_XSR_EP
b30e0 53 37 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 56 41 44 44 52 2c 0a 20 20 4f 50 43 S7,...OPCODE_RSR_EXCVADDR,...OPC
b3100 4f 44 45 5f 57 53 52 5f 45 58 43 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 ODE_WSR_EXCVADDR,...OPCODE_XSR_E
b3120 58 43 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 45 50 43 2c 0a 20 20 4f 50 XCVADDR,...OPCODE_RSR_DEPC,...OP
b3140 43 4f 44 45 5f 57 53 52 5f 44 45 50 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 45 50 43 CODE_WSR_DEPC,...OPCODE_XSR_DEPC
b3160 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f 44 ,...OPCODE_RSR_EXCCAUSE,...OPCOD
b3180 45 5f 57 53 52 5f 45 58 43 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 E_WSR_EXCCAUSE,...OPCODE_XSR_EXC
b31a0 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 49 53 43 30 2c 0a 20 20 4f 50 43 CAUSE,...OPCODE_RSR_MISC0,...OPC
b31c0 4f 44 45 5f 57 53 52 5f 4d 49 53 43 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 49 53 43 ODE_WSR_MISC0,...OPCODE_XSR_MISC
b31e0 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 49 53 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 0,...OPCODE_RSR_MISC1,...OPCODE_
b3200 57 53 52 5f 4d 49 53 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 49 53 43 31 2c 0a 20 WSR_MISC1,...OPCODE_XSR_MISC1,..
b3220 20 4f 50 43 4f 44 45 5f 52 53 52 5f 50 52 49 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 56 .OPCODE_RSR_PRID,...OPCODE_RSR_V
b3240 45 43 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 56 45 43 42 41 53 45 2c 0a 20 20 ECBASE,...OPCODE_WSR_VECBASE,...
b3260 4f 50 43 4f 44 45 5f 58 53 52 5f 56 45 43 42 41 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c OPCODE_XSR_VECBASE,...OPCODE_MUL
b3280 31 36 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 31 36 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 16U,...OPCODE_MUL16S,...OPCODE_M
b32a0 55 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 ULL,...OPCODE_MUL_AA_LL,...OPCOD
b32c0 45 5f 4d 55 4c 5f 41 41 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 4c 48 2c E_MUL_AA_HL,...OPCODE_MUL_AA_LH,
b32e0 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 55 4d ...OPCODE_MUL_AA_HH,...OPCODE_UM
b3300 55 4c 5f 41 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 41 5f 48 4c 2c 0a 20 UL_AA_LL,...OPCODE_UMUL_AA_HL,..
b3320 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 55 4d 55 .OPCODE_UMUL_AA_LH,...OPCODE_UMU
b3340 4c 5f 41 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 4c 4c 2c 0a 20 20 4f L_AA_HH,...OPCODE_MUL_AD_LL,...O
b3360 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 PCODE_MUL_AD_HL,...OPCODE_MUL_AD
b3380 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 _LH,...OPCODE_MUL_AD_HH,...OPCOD
b33a0 45 5f 4d 55 4c 5f 44 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 48 4c 2c E_MUL_DA_LL,...OPCODE_MUL_DA_HL,
b33c0 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 ...OPCODE_MUL_DA_LH,...OPCODE_MU
b33e0 4c 5f 44 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 4c 4c 2c 0a 20 20 4f L_DA_HH,...OPCODE_MUL_DD_LL,...O
b3400 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 PCODE_MUL_DD_HL,...OPCODE_MUL_DD
b3420 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 _LH,...OPCODE_MUL_DD_HH,...OPCOD
b3440 45 5f 4d 55 4c 41 5f 41 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 48 E_MULA_AA_LL,...OPCODE_MULA_AA_H
b3460 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 L,...OPCODE_MULA_AA_LH,...OPCODE
b3480 5f 4d 55 4c 41 5f 41 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 4c 4c _MULA_AA_HH,...OPCODE_MULS_AA_LL
b34a0 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f ,...OPCODE_MULS_AA_HL,...OPCODE_
b34c0 4d 55 4c 53 5f 41 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 48 48 2c MULS_AA_LH,...OPCODE_MULS_AA_HH,
b34e0 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d ...OPCODE_MULA_AD_LL,...OPCODE_M
b3500 55 4c 41 5f 41 44 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 4c 48 2c 0a ULA_AD_HL,...OPCODE_MULA_AD_LH,.
b3520 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 ..OPCODE_MULA_AD_HH,...OPCODE_MU
b3540 4c 53 5f 41 44 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 44 5f 48 4c 2c 0a 20 LS_AD_LL,...OPCODE_MULS_AD_HL,..
b3560 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 44 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c .OPCODE_MULS_AD_LH,...OPCODE_MUL
b3580 53 5f 41 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 4c 2c 0a 20 20 S_AD_HH,...OPCODE_MULA_DA_LL,...
b35a0 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 OPCODE_MULA_DA_HL,...OPCODE_MULA
b35c0 5f 44 41 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 48 2c 0a 20 20 4f _DA_LH,...OPCODE_MULA_DA_HH,...O
b35e0 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f PCODE_MULS_DA_LL,...OPCODE_MULS_
b3600 44 41 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 4c 48 2c 0a 20 20 4f 50 DA_HL,...OPCODE_MULS_DA_LH,...OP
b3620 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 CODE_MULS_DA_HH,...OPCODE_MULA_D
b3640 44 5f 4c 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 2c 0a 20 20 4f 50 43 D_LL,...OPCODE_MULA_DD_HL,...OPC
b3660 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 ODE_MULA_DD_LH,...OPCODE_MULA_DD
b3680 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 4c 4c 2c 0a 20 20 4f 50 43 4f _HH,...OPCODE_MULS_DD_LL,...OPCO
b36a0 44 45 5f 4d 55 4c 53 5f 44 44 5f 48 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f DE_MULS_DD_HL,...OPCODE_MULS_DD_
b36c0 4c 48 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 48 48 2c 0a 20 20 4f 50 43 4f 44 LH,...OPCODE_MULS_DD_HH,...OPCOD
b36e0 45 5f 4d 55 4c 41 5f 44 41 5f 4c 4c 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c E_MULA_DA_LL_LDDEC,...OPCODE_MUL
b3700 41 5f 44 41 5f 4c 4c 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f A_DA_LL_LDINC,...OPCODE_MULA_DA_
b3720 48 4c 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 4c 5f 4c 44 HL_LDDEC,...OPCODE_MULA_DA_HL_LD
b3740 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 48 5f 4c 44 44 45 43 2c 0a INC,...OPCODE_MULA_DA_LH_LDDEC,.
b3760 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 48 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 ..OPCODE_MULA_DA_LH_LDINC,...OPC
b3780 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 48 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d ODE_MULA_DA_HH_LDDEC,...OPCODE_M
b37a0 55 4c 41 5f 44 41 5f 48 48 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 ULA_DA_HH_LDINC,...OPCODE_MULA_D
b37c0 44 5f 4c 4c 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 4c 5f D_LL_LDDEC,...OPCODE_MULA_DD_LL_
b37e0 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 5f 4c 44 44 45 43 LDINC,...OPCODE_MULA_DD_HL_LDDEC
b3800 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 5f 4c 44 49 4e 43 2c 0a 20 20 4f ,...OPCODE_MULA_DD_HL_LDINC,...O
b3820 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 48 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 PCODE_MULA_DD_LH_LDDEC,...OPCODE
b3840 5f 4d 55 4c 41 5f 44 44 5f 4c 48 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 _MULA_DD_LH_LDINC,...OPCODE_MULA
b3860 5f 44 44 5f 48 48 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 _DD_HH_LDDEC,...OPCODE_MULA_DD_H
b3880 48 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 44 44 45 43 2c 0a 20 20 4f 50 43 4f 44 H_LDINC,...OPCODE_LDDEC,...OPCOD
b38a0 45 5f 4c 44 49 4e 43 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 30 2c 0a 20 20 4f 50 43 4f E_LDINC,...OPCODE_RSR_M0,...OPCO
b38c0 44 45 5f 57 53 52 5f 4d 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 30 2c 0a 20 20 4f 50 DE_WSR_M0,...OPCODE_XSR_M0,...OP
b38e0 43 4f 44 45 5f 52 53 52 5f 4d 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 31 2c 0a 20 20 CODE_RSR_M1,...OPCODE_WSR_M1,...
b3900 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 32 2c 0a OPCODE_XSR_M1,...OPCODE_RSR_M2,.
b3920 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 32 ..OPCODE_WSR_M2,...OPCODE_XSR_M2
b3940 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f ,...OPCODE_RSR_M3,...OPCODE_WSR_
b3960 4d 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 33 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 M3,...OPCODE_XSR_M3,...OPCODE_RS
b3980 52 5f 41 43 43 4c 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 41 43 43 4c 4f 2c 0a 20 20 4f R_ACCLO,...OPCODE_WSR_ACCLO,...O
b39a0 50 43 4f 44 45 5f 58 53 52 5f 41 43 43 4c 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 41 43 PCODE_XSR_ACCLO,...OPCODE_RSR_AC
b39c0 43 48 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 41 43 43 48 49 2c 0a 20 20 4f 50 43 4f 44 CHI,...OPCODE_WSR_ACCHI,...OPCOD
b39e0 45 5f 58 53 52 5f 41 43 43 48 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 49 2c 0a 20 20 4f 50 43 E_XSR_ACCHI,...OPCODE_RFI,...OPC
b3a00 4f 44 45 5f 57 41 49 54 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 4e 54 45 52 52 55 50 ODE_WAITI,...OPCODE_RSR_INTERRUP
b3a20 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 4e 54 53 45 54 2c 0a 20 20 4f 50 43 4f 44 45 T,...OPCODE_WSR_INTSET,...OPCODE
b3a40 5f 57 53 52 5f 49 4e 54 43 4c 45 41 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 4e 54 45 _WSR_INTCLEAR,...OPCODE_RSR_INTE
b3a60 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 4e 54 45 4e 41 42 4c 45 2c 0a 20 NABLE,...OPCODE_WSR_INTENABLE,..
b3a80 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 4e 54 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f .OPCODE_XSR_INTENABLE,...OPCODE_
b3aa0 42 52 45 41 4b 2c 0a 20 20 4f 50 43 4f 44 45 5f 42 52 45 41 4b 5f 4e 2c 0a 20 20 4f 50 43 4f 44 BREAK,...OPCODE_BREAK_N,...OPCOD
b3ac0 45 5f 52 53 52 5f 44 42 52 45 41 4b 41 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 42 52 E_RSR_DBREAKA0,...OPCODE_WSR_DBR
b3ae0 45 41 4b 41 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b 41 30 2c 0a 20 20 EAKA0,...OPCODE_XSR_DBREAKA0,...
b3b00 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 43 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 OPCODE_RSR_DBREAKC0,...OPCODE_WS
b3b20 52 5f 44 42 52 45 41 4b 43 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b 43 R_DBREAKC0,...OPCODE_XSR_DBREAKC
b3b40 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 0,...OPCODE_RSR_DBREAKA1,...OPCO
b3b60 44 45 5f 57 53 52 5f 44 42 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 DE_WSR_DBREAKA1,...OPCODE_XSR_DB
b3b80 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 43 31 2c 0a 20 REAKA1,...OPCODE_RSR_DBREAKC1,..
b3ba0 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 42 52 45 41 4b 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 .OPCODE_WSR_DBREAKC1,...OPCODE_X
b3bc0 53 52 5f 44 42 52 45 41 4b 43 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 42 52 45 41 4b SR_DBREAKC1,...OPCODE_RSR_IBREAK
b3be0 41 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 42 52 45 41 4b 41 30 2c 0a 20 20 4f 50 43 A0,...OPCODE_WSR_IBREAKA0,...OPC
b3c00 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b 41 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 ODE_XSR_IBREAKA0,...OPCODE_RSR_I
b3c20 42 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 42 52 45 41 4b 41 31 2c 0a BREAKA1,...OPCODE_WSR_IBREAKA1,.
b3c40 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b 41 31 2c 0a 20 20 4f 50 43 4f 44 45 5f ..OPCODE_XSR_IBREAKA1,...OPCODE_
b3c60 52 53 52 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 RSR_IBREAKENABLE,...OPCODE_WSR_I
b3c80 42 52 45 41 4b 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b BREAKENABLE,...OPCODE_XSR_IBREAK
b3ca0 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 45 42 55 47 43 41 55 53 45 2c ENABLE,...OPCODE_RSR_DEBUGCAUSE,
b3cc0 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 45 42 55 47 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f ...OPCODE_WSR_DEBUGCAUSE,...OPCO
b3ce0 44 45 5f 58 53 52 5f 44 45 42 55 47 43 41 55 53 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f DE_XSR_DEBUGCAUSE,...OPCODE_RSR_
b3d00 49 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 43 4f 55 4e 54 2c 0a 20 20 4f ICOUNT,...OPCODE_WSR_ICOUNT,...O
b3d20 50 43 4f 44 45 5f 58 53 52 5f 49 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 PCODE_XSR_ICOUNT,...OPCODE_RSR_I
b3d40 43 4f 55 4e 54 4c 45 56 45 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 43 4f 55 4e 54 4c COUNTLEVEL,...OPCODE_WSR_ICOUNTL
b3d60 45 56 45 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 43 4f 55 4e 54 4c 45 56 45 4c 2c 0a EVEL,...OPCODE_XSR_ICOUNTLEVEL,.
b3d80 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 ..OPCODE_RSR_DDR,...OPCODE_WSR_D
b3da0 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 DR,...OPCODE_XSR_DDR,...OPCODE_R
b3dc0 46 44 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 46 44 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 FDO,...OPCODE_RFDD,...OPCODE_WSR
b3de0 5f 4d 4d 49 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 55 4e 54 2c 0a 20 20 4f 50 _MMID,...OPCODE_RSR_CCOUNT,...OP
b3e00 43 4f 44 45 5f 57 53 52 5f 43 43 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 CODE_WSR_CCOUNT,...OPCODE_XSR_CC
b3e20 4f 55 4e 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 41 52 45 30 2c 0a 20 20 OUNT,...OPCODE_RSR_CCOMPARE0,...
b3e40 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f 4d 50 41 52 45 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 OPCODE_WSR_CCOMPARE0,...OPCODE_X
b3e60 53 52 5f 43 43 4f 4d 50 41 52 45 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 SR_CCOMPARE0,...OPCODE_RSR_CCOMP
b3e80 41 52 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f 4d 50 41 52 45 31 2c 0a 20 20 ARE1,...OPCODE_WSR_CCOMPARE1,...
b3ea0 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d 50 41 52 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 OPCODE_XSR_CCOMPARE1,...OPCODE_R
b3ec0 53 52 5f 43 43 4f 4d 50 41 52 45 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f 4d 50 SR_CCOMPARE2,...OPCODE_WSR_CCOMP
b3ee0 41 52 45 32 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d 50 41 52 45 32 2c 0a 20 20 ARE2,...OPCODE_XSR_CCOMPARE2,...
b3f00 4f 50 43 4f 44 45 5f 49 50 46 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 48 49 2c 0a 20 20 4f 50 43 4f OPCODE_IPF,...OPCODE_IHI,...OPCO
b3f20 44 45 5f 49 50 46 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 48 55 2c 0a 20 20 4f 50 43 4f 44 45 5f DE_IPFL,...OPCODE_IHU,...OPCODE_
b3f40 49 49 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 49 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 49 43 54 IIU,...OPCODE_III,...OPCODE_LICT
b3f60 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 49 43 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 49 43 54 2c 0a ,...OPCODE_LICW,...OPCODE_SICT,.
b3f80 20 20 4f 50 43 4f 44 45 5f 53 49 43 57 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 48 57 42 2c 0a 20 20 ..OPCODE_SICW,...OPCODE_DHWB,...
b3fa0 4f 50 43 4f 44 45 5f 44 48 57 42 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 49 57 42 55 49 5f 50 2c OPCODE_DHWBI,...OPCODE_DIWBUI_P,
b3fc0 0a 20 20 4f 50 43 4f 44 45 5f 44 49 57 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 49 57 42 49 2c 0a ...OPCODE_DIWB,...OPCODE_DIWBI,.
b3fe0 20 20 4f 50 43 4f 44 45 5f 44 48 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 49 49 2c 0a 20 20 4f 50 ..OPCODE_DHI,...OPCODE_DII,...OP
b4000 43 4f 44 45 5f 44 50 46 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 50 46 57 2c 0a 20 20 4f 50 43 4f CODE_DPFR,...OPCODE_DPFW,...OPCO
b4020 44 45 5f 44 50 46 52 4f 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 50 46 57 4f 2c 0a 20 20 4f 50 43 4f DE_DPFRO,...OPCODE_DPFWO,...OPCO
b4040 44 45 5f 44 50 46 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 44 48 55 2c 0a 20 20 4f 50 43 4f 44 45 5f DE_DPFL,...OPCODE_DHU,...OPCODE_
b4060 44 49 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 44 43 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 44 43 DIU,...OPCODE_SDCT,...OPCODE_LDC
b4080 54 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 50 54 45 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f T,...OPCODE_WSR_PTEVADDR,...OPCO
b40a0 44 45 5f 52 53 52 5f 50 54 45 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 50 54 DE_RSR_PTEVADDR,...OPCODE_XSR_PT
b40c0 45 56 41 44 44 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 52 41 53 49 44 2c 0a 20 20 4f 50 EVADDR,...OPCODE_RSR_RASID,...OP
b40e0 43 4f 44 45 5f 57 53 52 5f 52 41 53 49 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 52 41 53 CODE_WSR_RASID,...OPCODE_XSR_RAS
b4100 49 44 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 54 4c 42 43 46 47 2c 0a 20 20 4f 50 43 4f ID,...OPCODE_RSR_ITLBCFG,...OPCO
b4120 44 45 5f 57 53 52 5f 49 54 4c 42 43 46 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 54 4c DE_WSR_ITLBCFG,...OPCODE_XSR_ITL
b4140 42 43 46 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 54 4c 42 43 46 47 2c 0a 20 20 4f 50 BCFG,...OPCODE_RSR_DTLBCFG,...OP
b4160 43 4f 44 45 5f 57 53 52 5f 44 54 4c 42 43 46 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 CODE_WSR_DTLBCFG,...OPCODE_XSR_D
b4180 54 4c 42 43 46 47 2c 0a 20 20 4f 50 43 4f 44 45 5f 49 44 54 4c 42 2c 0a 20 20 4f 50 43 4f 44 45 TLBCFG,...OPCODE_IDTLB,...OPCODE
b41a0 5f 50 44 54 4c 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 44 54 4c 42 30 2c 0a 20 20 4f 50 43 4f 44 _PDTLB,...OPCODE_RDTLB0,...OPCOD
b41c0 45 5f 52 44 54 4c 42 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 44 54 4c 42 2c 0a 20 20 4f 50 43 4f E_RDTLB1,...OPCODE_WDTLB,...OPCO
b41e0 44 45 5f 49 49 54 4c 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 50 49 54 4c 42 2c 0a 20 20 4f 50 43 4f DE_IITLB,...OPCODE_PITLB,...OPCO
b4200 44 45 5f 52 49 54 4c 42 30 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 49 54 4c 42 31 2c 0a 20 20 4f 50 DE_RITLB0,...OPCODE_RITLB1,...OP
b4220 43 4f 44 45 5f 57 49 54 4c 42 2c 0a 20 20 4f 50 43 4f 44 45 5f 4c 44 50 54 45 2c 0a 20 20 4f 50 CODE_WITLB,...OPCODE_LDPTE,...OP
b4240 43 4f 44 45 5f 48 57 57 49 54 4c 42 41 2c 0a 20 20 4f 50 43 4f 44 45 5f 48 57 57 44 54 4c 42 41 CODE_HWWITLBA,...OPCODE_HWWDTLBA
b4260 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 50 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 ,...OPCODE_RSR_CPENABLE,...OPCOD
b4280 45 5f 57 53 52 5f 43 50 45 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 50 45 E_WSR_CPENABLE,...OPCODE_XSR_CPE
b42a0 4e 41 42 4c 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 4c 41 4d 50 53 2c 0a 20 20 4f 50 43 4f 44 45 NABLE,...OPCODE_CLAMPS,...OPCODE
b42c0 5f 4d 49 4e 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 41 58 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 49 4e _MIN,...OPCODE_MAX,...OPCODE_MIN
b42e0 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 4d 41 58 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 4e 53 41 2c 0a U,...OPCODE_MAXU,...OPCODE_NSA,.
b4300 20 20 4f 50 43 4f 44 45 5f 4e 53 41 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 45 58 54 2c 0a 20 20 ..OPCODE_NSAU,...OPCODE_SEXT,...
b4320 4f 50 43 4f 44 45 5f 4c 33 32 41 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 33 32 52 49 2c 0a 20 20 OPCODE_L32AI,...OPCODE_S32RI,...
b4340 4f 50 43 4f 44 45 5f 53 33 32 43 31 49 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 53 52 5f 53 43 4f 4d OPCODE_S32C1I,...OPCODE_RSR_SCOM
b4360 50 41 52 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 53 43 4f 4d 50 41 52 45 31 2c 0a 20 PARE1,...OPCODE_WSR_SCOMPARE1,..
b4380 20 4f 50 43 4f 44 45 5f 58 53 52 5f 53 43 4f 4d 50 41 52 45 31 2c 0a 20 20 4f 50 43 4f 44 45 5f .OPCODE_XSR_SCOMPARE1,...OPCODE_
b43a0 52 53 52 5f 41 54 4f 4d 43 54 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 53 52 5f 41 54 4f 4d 43 54 RSR_ATOMCTL,...OPCODE_WSR_ATOMCT
b43c0 4c 2c 0a 20 20 4f 50 43 4f 44 45 5f 58 53 52 5f 41 54 4f 4d 43 54 4c 2c 0a 20 20 4f 50 43 4f 44 L,...OPCODE_XSR_ATOMCTL,...OPCOD
b43e0 45 5f 51 55 4f 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 51 55 4f 53 2c 0a 20 20 4f 50 43 4f 44 45 5f E_QUOU,...OPCODE_QUOS,...OPCODE_
b4400 52 45 4d 55 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 4d 53 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 45 REMU,...OPCODE_REMS,...OPCODE_RE
b4420 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 45 52 2c 0a 20 20 4f 50 43 4f 44 45 5f 52 55 52 5f 45 58 R,...OPCODE_WER,...OPCODE_RUR_EX
b4440 50 53 54 41 54 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 55 52 5f 45 58 50 53 54 41 54 45 2c 0a 20 PSTATE,...OPCODE_WUR_EXPSTATE,..
b4460 20 4f 50 43 4f 44 45 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 53 .OPCODE_READ_IMPWIRE,...OPCODE_S
b4480 45 54 42 5f 45 58 50 53 54 41 54 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 43 4c 52 42 5f 45 58 50 53 ETB_EXPSTATE,...OPCODE_CLRB_EXPS
b44a0 54 41 54 45 2c 0a 20 20 4f 50 43 4f 44 45 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 0a 7d 3b TATE,...OPCODE_WRMSK_EXPSTATE.};
b44c0 0a 0a 0c 0a 2f 2a 20 53 6c 6f 74 2d 73 70 65 63 69 66 69 63 20 6f 70 63 6f 64 65 20 64 65 63 6f ..../*.Slot-specific.opcode.deco
b44e0 64 65 20 66 75 6e 63 74 69 6f 6e 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 53 6c de.functions...*/..static.int.Sl
b4500 6f 74 5f 69 6e 73 74 5f 64 65 63 6f 64 65 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 ot_inst_decode.(const.xtensa_ins
b4520 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f nbuf.insn).{...if.(Field_op0_Slo
b4540 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 20 20 20 20 7b 0a 20 20 t_inst_get.(insn).==.0).....{...
b4560 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
b4580 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 (insn).==.0)..{....if.(Field_op2
b45a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.0).....
b45c0 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f .{........if.(Field_r_Slot_inst_
b45e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 7b 0a 09 09 20 20 69 66 20 28 46 69 65 get.(insn).==.0)...{.....if.(Fie
b4600 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 ld_m_Slot_inst_get.(insn).==.0.&
b4620 26 0a 09 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 &.........Field_s_Slot_inst_get.
b4640 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 09 20 20 20 20 20 20 46 69 65 6c 64 5f 6e 5f 53 (insn).==.0.&&.........Field_n_S
b4660 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 20 20 20 20 lot_inst_get.(insn).==.0).......
b4680 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 49 4c 4c 3b 0a 09 09 20 20 69 66 20 28 46 69 65 6c 64 return.OPCODE_ILL;.....if.(Field
b46a0 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 _m_Slot_inst_get.(insn).==.2)...
b46c0 20 20 20 20 7b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 ....{.........if.(Field_n_Slot_i
b46e0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 09 72 65 74 75 72 6e 20 4f nst_get.(insn).==.0)....return.O
b4700 50 43 4f 44 45 5f 52 45 54 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 PCODE_RET;.........if.(Field_n_S
b4720 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 09 72 65 74 lot_inst_get.(insn).==.1)....ret
b4740 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 54 57 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 urn.OPCODE_RETW;.........if.(Fie
b4760 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a ld_n_Slot_inst_get.(insn).==.2).
b4780 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4a 58 3b 0a 09 09 20 20 20 20 7d 0a 09 09 20 ...return.OPCODE_JX;.......}....
b47a0 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_m_Slot_inst_get.(insn
b47c0 29 20 3d 3d 20 33 29 0a 09 09 20 20 20 20 7b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c ).==.3).......{.........if.(Fiel
b47e0 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 d_n_Slot_inst_get.(insn).==.0)..
b4800 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 30 3b 0a 09 09 20 20 20 20 20 20 ..return.OPCODE_CALLX0;.........
b4820 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 if.(Field_n_Slot_inst_get.(insn)
b4840 20 3d 3d 20 31 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 34 3b 0a .==.1)....return.OPCODE_CALLX4;.
b4860 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 ........if.(Field_n_Slot_inst_ge
b4880 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.2)....return.OPCODE_
b48a0 43 41 4c 4c 58 38 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 CALLX8;.........if.(Field_n_Slot
b48c0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 09 72 65 74 75 72 6e _inst_get.(insn).==.3)....return
b48e0 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 58 31 32 3b 0a 09 09 20 20 20 20 7d 0a 09 09 7d 0a 09 20 20 .OPCODE_CALLX12;.......}...}....
b4900 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ....if.(Field_r_Slot_inst_get.(i
b4920 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 53 50 nsn).==.1)...return.OPCODE_MOVSP
b4940 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ;........if.(Field_r_Slot_inst_g
b4960 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 7b 0a 09 09 20 20 69 66 20 28 46 69 65 6c et.(insn).==.2)...{.....if.(Fiel
b4980 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 d_s_Slot_inst_get.(insn).==.0)..
b49a0 09 20 20 20 20 7b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f .....{.........if.(Field_t_Slot_
b49c0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 09 72 65 74 75 72 6e 20 inst_get.(insn).==.0)....return.
b49e0 4f 50 43 4f 44 45 5f 49 53 59 4e 43 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f OPCODE_ISYNC;.........if.(Field_
b4a00 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 09 t_Slot_inst_get.(insn).==.1)....
b4a20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 59 4e 43 3b 0a 09 09 20 20 20 20 20 20 69 66 20 return.OPCODE_RSYNC;.........if.
b4a40 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_t_Slot_inst_get.(insn).==
b4a60 20 32 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 45 53 59 4e 43 3b 0a 09 09 20 20 .2)....return.OPCODE_ESYNC;.....
b4a80 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ....if.(Field_t_Slot_inst_get.(i
b4aa0 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 53 59 4e nsn).==.3)....return.OPCODE_DSYN
b4ac0 43 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 C;.........if.(Field_t_Slot_inst
b4ae0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f _get.(insn).==.8)....return.OPCO
b4b00 44 45 5f 45 58 43 57 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f DE_EXCW;.........if.(Field_t_Slo
b4b20 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 09 09 72 65 74 75 t_inst_get.(insn).==.12)....retu
b4b40 72 6e 20 4f 50 43 4f 44 45 5f 4d 45 4d 57 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c rn.OPCODE_MEMW;.........if.(Fiel
b4b60 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a d_t_Slot_inst_get.(insn).==.13).
b4b80 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 45 58 54 57 3b 0a 09 09 20 20 20 20 20 20 69 ...return.OPCODE_EXTW;.........i
b4ba0 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_t_Slot_inst_get.(insn).
b4bc0 3d 3d 20 31 35 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4e 4f 50 3b 0a 09 09 20 ==.15)....return.OPCODE_NOP;....
b4be0 20 20 20 7d 0a 09 09 7d 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 ...}...}........if.(Field_r_Slot
b4c00 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 7b 0a 09 09 20 20 69 _inst_get.(insn).==.3)...{.....i
b4c20 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_t_Slot_inst_get.(insn).
b4c40 3d 3d 20 30 29 0a 09 09 20 20 20 20 7b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f ==.0).......{.........if.(Field_
b4c60 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 09 s_Slot_inst_get.(insn).==.0)....
b4c80 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 45 3b 0a 09 09 20 20 20 20 20 20 69 66 20 28 46 return.OPCODE_RFE;.........if.(F
b4ca0 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 ield_s_Slot_inst_get.(insn).==.2
b4cc0 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 44 45 3b 0a 09 09 20 20 20 20 20 )....return.OPCODE_RFDE;........
b4ce0 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_s_Slot_inst_get.(insn
b4d00 29 20 3d 3d 20 34 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 57 4f 3b 0a 09 ).==.4)....return.OPCODE_RFWO;..
b4d20 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .......if.(Field_s_Slot_inst_get
b4d40 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 .(insn).==.5)....return.OPCODE_R
b4d60 46 57 55 3b 0a 09 09 20 20 20 20 7d 0a 09 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f FWU;.......}.....if.(Field_t_Slo
b4d80 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 20 20 20 20 72 65 t_inst_get.(insn).==.1).......re
b4da0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 49 3b 0a 09 09 7d 0a 09 20 20 20 20 20 20 69 66 20 28 turn.OPCODE_RFI;...}........if.(
b4dc0 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
b4de0 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 52 45 41 4b 3b 0a 09 20 20 20 20 20 4)...return.OPCODE_BREAK;.......
b4e00 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_r_Slot_inst_get.(insn
b4e20 29 20 3d 3d 20 35 29 0a 09 09 7b 0a 09 09 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 ).==.5)...{.....if.(Field_s_Slot
b4e40 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 09 20 20 20 20 20 _inst_get.(insn).==.0.&&........
b4e60 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d .Field_t_Slot_inst_get.(insn).==
b4e80 20 30 29 0a 09 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 59 53 43 41 4c 4c 3b .0).......return.OPCODE_SYSCALL;
b4ea0 0a 09 09 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 .....if.(Field_s_Slot_inst_get.(
b4ec0 69 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 5f 53 6c insn).==.1.&&.........Field_t_Sl
b4ee0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 20 20 20 20 72 ot_inst_get.(insn).==.0).......r
b4f00 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 49 4d 43 41 4c 4c 3b 0a 09 09 7d 0a 09 20 20 20 20 20 eturn.OPCODE_SIMCALL;...}.......
b4f20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_r_Slot_inst_get.(insn
b4f40 29 20 3d 3d 20 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 49 4c 3b 0a 09 20 ).==.6)...return.OPCODE_RSIL;...
b4f60 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 .....if.(Field_r_Slot_inst_get.(
b4f80 69 6e 73 6e 29 20 3d 3d 20 37 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 insn).==.7.&&.....Field_t_Slot_i
b4fa0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 nst_get.(insn).==.0)...return.OP
b4fc0 43 4f 44 45 5f 57 41 49 54 49 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f CODE_WAITI;......}....if.(Field_
b4fe0 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 op2_Slot_inst_get.(insn).==.1)..
b5000 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 4e 44 3b 0a 09 20 20 69 66 20 28 46 69 ....return.OPCODE_AND;....if.(Fi
b5020 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op2_Slot_inst_get.(insn).==.
b5040 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4f 52 3b 0a 09 20 20 69 66 20 2)......return.OPCODE_OR;....if.
b5060 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op2_Slot_inst_get.(insn).
b5080 3d 3d 20 33 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 4f 52 3b 0a 09 20 ==.3)......return.OPCODE_XOR;...
b50a0 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op2_Slot_inst_get.(in
b50c0 73 6e 29 20 3d 3d 20 34 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c sn).==.4)......{........if.(Fiel
b50e0 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 d_r_Slot_inst_get.(insn).==.0.&&
b5100 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_t_Slot_inst_get.(insn
b5120 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 53 52 3b 0a 09 20 20 ).==.0)...return.OPCODE_SSR;....
b5140 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ....if.(Field_r_Slot_inst_get.(i
b5160 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e nsn).==.1.&&.....Field_t_Slot_in
b5180 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 st_get.(insn).==.0)...return.OPC
b51a0 4f 44 45 5f 53 53 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 ODE_SSL;........if.(Field_r_Slot
b51c0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 20 26 26 0a 09 09 20 20 46 69 65 _inst_get.(insn).==.2.&&.....Fie
b51e0 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a ld_t_Slot_inst_get.(insn).==.0).
b5200 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 53 41 38 4c 3b 0a 09 20 20 20 20 20 20 69 66 ..return.OPCODE_SSA8L;........if
b5220 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .(Field_r_Slot_inst_get.(insn).=
b5240 3d 20 33 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 =.3.&&.....Field_t_Slot_inst_get
b5260 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 53 .(insn).==.0)...return.OPCODE_SS
b5280 41 38 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 A8B;........if.(Field_r_Slot_ins
b52a0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 t_get.(insn).==.4.&&.....Field_t
b52c0 68 69 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 hi3_Slot_inst_get.(insn).==.0)..
b52e0 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 53 41 49 3b 0a 09 20 20 20 20 20 20 69 66 20 28 .return.OPCODE_SSAI;........if.(
b5300 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
b5320 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 52 3b 0a 09 20 20 20 20 20 20 69 6)...return.OPCODE_RER;........i
b5340 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_r_Slot_inst_get.(insn).
b5360 3d 3d 20 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 45 52 3b 0a 09 20 20 20 20 ==.7)...return.OPCODE_WER;......
b5380 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ..if.(Field_r_Slot_inst_get.(ins
b53a0 6e 29 20 3d 3d 20 38 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 n).==.8.&&.....Field_s_Slot_inst
b53c0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 _get.(insn).==.0)...return.OPCOD
b53e0 45 5f 52 4f 54 57 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f E_ROTW;........if.(Field_r_Slot_
b5400 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 09 72 65 74 75 72 6e 20 inst_get.(insn).==.14)...return.
b5420 4f 50 43 4f 44 45 5f 4e 53 41 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 OPCODE_NSA;........if.(Field_r_S
b5440 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.15)...ret
b5460 75 72 6e 20 4f 50 43 4f 44 45 5f 4e 53 41 55 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 urn.OPCODE_NSAU;......}....if.(F
b5480 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_op2_Slot_inst_get.(insn).==
b54a0 20 35 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c .5)......{........if.(Field_r_Sl
b54c0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 ot_inst_get.(insn).==.1)...retur
b54e0 6e 20 4f 50 43 4f 44 45 5f 48 57 57 49 54 4c 42 41 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 n.OPCODE_HWWITLBA;........if.(Fi
b5500 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 eld_r_Slot_inst_get.(insn).==.3)
b5520 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 49 54 4c 42 30 3b 0a 09 20 20 20 20 20 20 ...return.OPCODE_RITLB0;........
b5540 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 if.(Field_r_Slot_inst_get.(insn)
b5560 20 3d 3d 20 34 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .==.4.&&.....Field_t_Slot_inst_g
b5580 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f et.(insn).==.0)...return.OPCODE_
b55a0 49 49 54 4c 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 IITLB;........if.(Field_r_Slot_i
b55c0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 nst_get.(insn).==.5)...return.OP
b55e0 43 4f 44 45 5f 50 49 54 4c 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 CODE_PITLB;........if.(Field_r_S
b5600 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 09 09 72 65 74 75 lot_inst_get.(insn).==.6)...retu
b5620 72 6e 20 4f 50 43 4f 44 45 5f 57 49 54 4c 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c rn.OPCODE_WITLB;........if.(Fiel
b5640 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 29 0a 09 d_r_Slot_inst_get.(insn).==.7)..
b5660 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 49 54 4c 42 31 3b 0a 09 20 20 20 20 20 20 69 66 .return.OPCODE_RITLB1;........if
b5680 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .(Field_r_Slot_inst_get.(insn).=
b56a0 3d 20 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 48 57 57 44 54 4c 42 41 3b 0a 09 =.9)...return.OPCODE_HWWDTLBA;..
b56c0 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ......if.(Field_r_Slot_inst_get.
b56e0 28 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 44 (insn).==.11)...return.OPCODE_RD
b5700 54 4c 42 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e TLB0;........if.(Field_r_Slot_in
b5720 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 09 20 20 46 69 65 6c 64 st_get.(insn).==.12.&&.....Field
b5740 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 _t_Slot_inst_get.(insn).==.0)...
b5760 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 49 44 54 4c 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 return.OPCODE_IDTLB;........if.(
b5780 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
b57a0 31 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 50 44 54 4c 42 3b 0a 09 20 20 20 20 13)...return.OPCODE_PDTLB;......
b57c0 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ..if.(Field_r_Slot_inst_get.(ins
b57e0 6e 29 20 3d 3d 20 31 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 44 54 4c 42 3b n).==.14)...return.OPCODE_WDTLB;
b5800 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 ........if.(Field_r_Slot_inst_ge
b5820 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.15)...return.OPCODE_
b5840 52 44 54 4c 42 31 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f RDTLB1;......}....if.(Field_op2_
b5860 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.6)......
b5880 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 {........if.(Field_s_Slot_inst_g
b58a0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f et.(insn).==.0)...return.OPCODE_
b58c0 4e 45 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 NEG;........if.(Field_s_Slot_ins
b58e0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f t_get.(insn).==.1)...return.OPCO
b5900 44 45 5f 41 42 53 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f DE_ABS;......}....if.(Field_op2_
b5920 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.8)......
b5940 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f return.OPCODE_ADD;....if.(Field_
b5960 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 op2_Slot_inst_get.(insn).==.9)..
b5980 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 58 32 3b 0a 09 20 20 69 66 20 28 ....return.OPCODE_ADDX2;....if.(
b59a0 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_op2_Slot_inst_get.(insn).=
b59c0 3d 20 31 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 58 34 3b 0a =.10)......return.OPCODE_ADDX4;.
b59e0 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op2_Slot_inst_get.(
b5a00 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f insn).==.11)......return.OPCODE_
b5a20 41 44 44 58 38 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 ADDX8;....if.(Field_op2_Slot_ins
b5a40 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 t_get.(insn).==.12)......return.
b5a60 4f 50 43 4f 44 45 5f 53 55 42 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f OPCODE_SUB;....if.(Field_op2_Slo
b5a80 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 09 20 20 20 20 72 65 t_inst_get.(insn).==.13)......re
b5aa0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 55 42 58 32 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f turn.OPCODE_SUBX2;....if.(Field_
b5ac0 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a op2_Slot_inst_get.(insn).==.14).
b5ae0 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 55 42 58 34 3b 0a 09 20 20 69 66 20 .....return.OPCODE_SUBX4;....if.
b5b00 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op2_Slot_inst_get.(insn).
b5b20 3d 3d 20 31 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 55 42 58 38 3b ==.15)......return.OPCODE_SUBX8;
b5b40 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 ..}.......if.(Field_op1_Slot_ins
b5b60 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 7b 0a 09 20 20 69 66 20 28 28 46 69 t_get.(insn).==.1)..{....if.((Fi
b5b80 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op2_Slot_inst_get.(insn).==.
b5ba0 30 20 7c 7c 0a 09 20 20 20 20 20 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 0.||.........Field_op2_Slot_inst
b5bc0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f _get.(insn).==.1))......return.O
b5be0 50 43 4f 44 45 5f 53 4c 4c 49 3b 0a 09 20 20 69 66 20 28 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c PCODE_SLLI;....if.((Field_op2_Sl
b5c00 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 20 7c 7c 0a 09 20 20 20 20 ot_inst_get.(insn).==.2.||......
b5c20 20 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ...Field_op2_Slot_inst_get.(insn
b5c40 29 20 3d 3d 20 33 29 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 52 41 49 ).==.3))......return.OPCODE_SRAI
b5c60 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ;....if.(Field_op2_Slot_inst_get
b5c80 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 .(insn).==.4)......return.OPCODE
b5ca0 5f 53 52 4c 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 _SRLI;....if.(Field_op2_Slot_ins
b5cc0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 t_get.(insn).==.6)......{.......
b5ce0 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
b5d00 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4c 42 45 n).==.0)...return.OPCODE_XSR_LBE
b5d20 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 G;........if.(Field_sr_Slot_inst
b5d40 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 _get.(insn).==.1)...return.OPCOD
b5d60 45 5f 58 53 52 5f 4c 45 4e 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f E_XSR_LEND;........if.(Field_sr_
b5d80 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 72 65 74 Slot_inst_get.(insn).==.2)...ret
b5da0 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4c 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 urn.OPCODE_XSR_LCOUNT;........if
b5dc0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b5de0 3d 3d 20 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 53 41 52 3b 0a 09 ==.3)...return.OPCODE_XSR_SAR;..
b5e00 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
b5e20 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 .(insn).==.5)...return.OPCODE_XS
b5e40 52 5f 4c 49 54 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 R_LITBASE;........if.(Field_sr_S
b5e60 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.12)...ret
b5e80 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 53 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 urn.OPCODE_XSR_SCOMPARE1;.......
b5ea0 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
b5ec0 6e 29 20 3d 3d 20 31 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 41 43 n).==.16)...return.OPCODE_XSR_AC
b5ee0 43 4c 4f 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e CLO;........if.(Field_sr_Slot_in
b5f00 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 st_get.(insn).==.17)...return.OP
b5f20 43 4f 44 45 5f 58 53 52 5f 41 43 43 48 49 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 CODE_XSR_ACCHI;........if.(Field
b5f40 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 32 29 0a _sr_Slot_inst_get.(insn).==.32).
b5f60 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 30 3b 0a 09 20 20 20 20 20 20 69 ..return.OPCODE_XSR_M0;........i
b5f80 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
b5fa0 20 3d 3d 20 33 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 31 3b 0a .==.33)...return.OPCODE_XSR_M1;.
b5fc0 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
b5fe0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.34)...return.OPCODE_
b6000 58 53 52 5f 4d 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 XSR_M2;........if.(Field_sr_Slot
b6020 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 35 29 0a 09 09 72 65 74 75 72 6e _inst_get.(insn).==.35)...return
b6040 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 .OPCODE_XSR_M3;........if.(Field
b6060 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 32 29 0a _sr_Slot_inst_get.(insn).==.72).
b6080 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 3b 0a ..return.OPCODE_XSR_WINDOWBASE;.
b60a0 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
b60c0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.73)...return.OPCODE_
b60e0 58 53 52 5f 57 49 4e 44 4f 57 53 54 41 52 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c XSR_WINDOWSTART;........if.(Fiel
b6100 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 33 29 d_sr_Slot_inst_get.(insn).==.83)
b6120 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 50 54 45 56 41 44 44 52 3b 0a 09 ...return.OPCODE_XSR_PTEVADDR;..
b6140 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
b6160 20 28 69 6e 73 6e 29 20 3d 3d 20 39 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 .(insn).==.90)...return.OPCODE_X
b6180 53 52 5f 52 41 53 49 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c SR_RASID;........if.(Field_sr_Sl
b61a0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 31 29 0a 09 09 72 65 74 75 ot_inst_get.(insn).==.91)...retu
b61c0 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 54 4c 42 43 46 47 3b 0a 09 20 20 20 20 20 20 69 66 rn.OPCODE_XSR_ITLBCFG;........if
b61e0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b6200 3d 3d 20 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 54 4c 42 43 ==.92)...return.OPCODE_XSR_DTLBC
b6220 46 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 FG;........if.(Field_sr_Slot_ins
b6240 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 t_get.(insn).==.96)...return.OPC
b6260 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 ODE_XSR_IBREAKENABLE;........if.
b6280 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
b62a0 3d 20 39 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 45 4d 43 54 4c =.97)...return.OPCODE_XSR_MEMCTL
b62c0 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
b62e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 get.(insn).==.99)...return.OPCOD
b6300 45 5f 58 53 52 5f 41 54 4f 4d 43 54 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f E_XSR_ATOMCTL;........if.(Field_
b6320 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 34 29 0a sr_Slot_inst_get.(insn).==.104).
b6340 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 44 52 3b 0a 09 20 20 20 20 20 20 ..return.OPCODE_XSR_DDR;........
b6360 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.(Field_sr_Slot_inst_get.(insn
b6380 29 20 3d 3d 20 31 32 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 42 ).==.128)...return.OPCODE_XSR_IB
b63a0 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 REAKA0;........if.(Field_sr_Slot
b63c0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 39 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.129)...retur
b63e0 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 42 52 45 41 4b 41 31 3b 0a 09 20 20 20 20 20 20 69 66 n.OPCODE_XSR_IBREAKA1;........if
b6400 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b6420 3d 3d 20 31 34 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 ==.144)...return.OPCODE_XSR_DBRE
b6440 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 AKA0;........if.(Field_sr_Slot_i
b6460 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 35 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.145)...return.
b6480 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b 41 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 OPCODE_XSR_DBREAKA1;........if.(
b64a0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
b64c0 20 31 36 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b .160)...return.OPCODE_XSR_DBREAK
b64e0 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 C0;........if.(Field_sr_Slot_ins
b6500 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 36 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 t_get.(insn).==.161)...return.OP
b6520 43 4f 44 45 5f 58 53 52 5f 44 42 52 45 41 4b 43 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 CODE_XSR_DBREAKC1;........if.(Fi
b6540 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 eld_sr_Slot_inst_get.(insn).==.1
b6560 37 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 31 3b 0a 09 20 77)...return.OPCODE_XSR_EPC1;...
b6580 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
b65a0 28 69 6e 73 6e 29 20 3d 3d 20 31 37 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 (insn).==.178)...return.OPCODE_X
b65c0 53 52 5f 45 50 43 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f SR_EPC2;........if.(Field_sr_Slo
b65e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 39 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.179)...retu
b6600 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 rn.OPCODE_XSR_EPC3;........if.(F
b6620 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
b6640 31 38 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 34 3b 0a 09 180)...return.OPCODE_XSR_EPC4;..
b6660 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
b6680 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f .(insn).==.181)...return.OPCODE_
b66a0 58 53 52 5f 45 50 43 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c XSR_EPC5;........if.(Field_sr_Sl
b66c0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 32 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.182)...ret
b66e0 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 36 3b 0a 09 20 20 20 20 20 20 69 66 20 28 urn.OPCODE_XSR_EPC6;........if.(
b6700 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
b6720 20 31 38 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 43 37 3b 0a .183)...return.OPCODE_XSR_EPC7;.
b6740 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
b6760 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.192)...return.OPCODE
b6780 5f 58 53 52 5f 44 45 50 43 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _XSR_DEPC;........if.(Field_sr_S
b67a0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 34 29 0a 09 09 72 65 lot_inst_get.(insn).==.194)...re
b67c0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 32 3b 0a 09 20 20 20 20 20 20 69 66 20 turn.OPCODE_XSR_EPS2;........if.
b67e0 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
b6800 3d 20 31 39 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 33 3b =.195)...return.OPCODE_XSR_EPS3;
b6820 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
b6840 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 et.(insn).==.196)...return.OPCOD
b6860 45 5f 58 53 52 5f 45 50 53 34 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f E_XSR_EPS4;........if.(Field_sr_
b6880 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 37 29 0a 09 09 72 Slot_inst_get.(insn).==.197)...r
b68a0 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 35 3b 0a 09 20 20 20 20 20 20 69 66 eturn.OPCODE_XSR_EPS5;........if
b68c0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b68e0 3d 3d 20 31 39 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 50 53 36 ==.198)...return.OPCODE_XSR_EPS6
b6900 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
b6920 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f get.(insn).==.199)...return.OPCO
b6940 44 45 5f 58 53 52 5f 45 50 53 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 DE_XSR_EPS7;........if.(Field_sr
b6960 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 30 39 29 0a 09 09 _Slot_inst_get.(insn).==.209)...
b6980 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 31 3b 0a 09 20 20 20 return.OPCODE_XSR_EXCSAVE1;.....
b69a0 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
b69c0 6e 73 6e 29 20 3d 3d 20 32 31 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 nsn).==.210)...return.OPCODE_XSR
b69e0 5f 45 58 43 53 41 56 45 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _EXCSAVE2;........if.(Field_sr_S
b6a00 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 31 29 0a 09 09 72 65 lot_inst_get.(insn).==.211)...re
b6a20 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 33 3b 0a 09 20 20 20 20 20 turn.OPCODE_XSR_EXCSAVE3;.......
b6a40 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
b6a60 6e 29 20 3d 3d 20 32 31 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 n).==.212)...return.OPCODE_XSR_E
b6a80 58 43 53 41 56 45 34 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f XCSAVE4;........if.(Field_sr_Slo
b6aa0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 33 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.213)...retu
b6ac0 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 35 3b 0a 09 20 20 20 20 20 20 69 rn.OPCODE_XSR_EXCSAVE5;........i
b6ae0 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
b6b00 20 3d 3d 20 32 31 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 .==.214)...return.OPCODE_XSR_EXC
b6b20 53 41 56 45 36 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f SAVE6;........if.(Field_sr_Slot_
b6b40 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 35 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.215)...return
b6b60 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 53 41 56 45 37 3b 0a 09 20 20 20 20 20 20 69 66 20 .OPCODE_XSR_EXCSAVE7;........if.
b6b80 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
b6ba0 3d 20 32 32 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 50 45 4e 41 =.224)...return.OPCODE_XSR_CPENA
b6bc0 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e BLE;........if.(Field_sr_Slot_in
b6be0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 38 29 0a 09 09 72 65 74 75 72 6e 20 4f st_get.(insn).==.228)...return.O
b6c00 50 43 4f 44 45 5f 58 53 52 5f 49 4e 54 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 PCODE_XSR_INTENABLE;........if.(
b6c20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
b6c40 20 32 33 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 50 53 3b 0a 09 20 .230)...return.OPCODE_XSR_PS;...
b6c60 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
b6c80 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 (insn).==.231)...return.OPCODE_X
b6ca0 53 52 5f 56 45 43 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f SR_VECBASE;........if.(Field_sr_
b6cc0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 32 29 0a 09 09 72 Slot_inst_get.(insn).==.232)...r
b6ce0 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 43 41 55 53 45 3b 0a 09 20 20 20 20 eturn.OPCODE_XSR_EXCCAUSE;......
b6d00 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
b6d20 73 6e 29 20 3d 3d 20 32 33 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f sn).==.233)...return.OPCODE_XSR_
b6d40 44 45 42 55 47 43 41 55 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f DEBUGCAUSE;........if.(Field_sr_
b6d60 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 34 29 0a 09 09 72 Slot_inst_get.(insn).==.234)...r
b6d80 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 eturn.OPCODE_XSR_CCOUNT;........
b6da0 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.(Field_sr_Slot_inst_get.(insn
b6dc0 29 20 3d 3d 20 32 33 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 43 ).==.236)...return.OPCODE_XSR_IC
b6de0 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 OUNT;........if.(Field_sr_Slot_i
b6e00 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 37 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.237)...return.
b6e20 4f 50 43 4f 44 45 5f 58 53 52 5f 49 43 4f 55 4e 54 4c 45 56 45 4c 3b 0a 09 20 20 20 20 20 20 69 OPCODE_XSR_ICOUNTLEVEL;........i
b6e40 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
b6e60 20 3d 3d 20 32 33 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 45 58 43 .==.238)...return.OPCODE_XSR_EXC
b6e80 56 41 44 44 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f VADDR;........if.(Field_sr_Slot_
b6ea0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 30 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.240)...return
b6ec0 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d 50 41 52 45 30 3b 0a 09 20 20 20 20 20 20 69 66 .OPCODE_XSR_CCOMPARE0;........if
b6ee0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b6f00 3d 3d 20 32 34 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d ==.241)...return.OPCODE_XSR_CCOM
b6f20 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f PARE1;........if.(Field_sr_Slot_
b6f40 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 32 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.242)...return
b6f60 20 4f 50 43 4f 44 45 5f 58 53 52 5f 43 43 4f 4d 50 41 52 45 32 3b 0a 09 20 20 20 20 20 20 69 66 .OPCODE_XSR_CCOMPARE2;........if
b6f80 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b6fa0 3d 3d 20 32 34 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 58 53 52 5f 4d 49 53 43 ==.244)...return.OPCODE_XSR_MISC
b6fc0 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 0;........if.(Field_sr_Slot_inst
b6fe0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 _get.(insn).==.245)...return.OPC
b7000 4f 44 45 5f 58 53 52 5f 4d 49 53 43 31 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 ODE_XSR_MISC1;......}....if.(Fie
b7020 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 ld_op2_Slot_inst_get.(insn).==.8
b7040 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 52 43 3b 0a 09 20 20 69 66 20 )......return.OPCODE_SRC;....if.
b7060 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op2_Slot_inst_get.(insn).
b7080 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 ==.9.&&........Field_s_Slot_inst
b70a0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.0)......return.OP
b70c0 43 4f 44 45 5f 53 52 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f CODE_SRL;....if.(Field_op2_Slot_
b70e0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 inst_get.(insn).==.10.&&........
b7100 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_t_Slot_inst_get.(insn).==.
b7120 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 4c 4c 3b 0a 09 20 20 69 66 0)......return.OPCODE_SLL;....if
b7140 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op2_Slot_inst_get.(insn)
b7160 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e .==.11.&&........Field_s_Slot_in
b7180 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.0)......return.
b71a0 4f 50 43 4f 44 45 5f 53 52 41 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f OPCODE_SRA;....if.(Field_op2_Slo
b71c0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 20 20 20 20 72 65 t_inst_get.(insn).==.12)......re
b71e0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 31 36 55 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 turn.OPCODE_MUL16U;....if.(Field
b7200 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 _op2_Slot_inst_get.(insn).==.13)
b7220 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 31 36 53 3b 0a 09 20 20 69 ......return.OPCODE_MUL16S;....i
b7240 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e f.(Field_op2_Slot_inst_get.(insn
b7260 29 20 3d 3d 20 31 35 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 ).==.15)......{........if.(Field
b7280 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 _r_Slot_inst_get.(insn).==.0)...
b72a0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 49 43 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 return.OPCODE_LICT;........if.(F
b72c0 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 ield_r_Slot_inst_get.(insn).==.1
b72e0 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 49 43 54 3b 0a 09 20 20 20 20 20 20 69 )...return.OPCODE_SICT;........i
b7300 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_r_Slot_inst_get.(insn).
b7320 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 49 43 57 3b 0a 09 20 20 20 ==.2)...return.OPCODE_LICW;.....
b7340 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ...if.(Field_r_Slot_inst_get.(in
b7360 73 6e 29 20 3d 3d 20 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 49 43 57 3b 0a sn).==.3)...return.OPCODE_SICW;.
b7380 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .......if.(Field_r_Slot_inst_get
b73a0 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 44 .(insn).==.8)...return.OPCODE_LD
b73c0 43 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 CT;........if.(Field_r_Slot_inst
b73e0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 _get.(insn).==.9)...return.OPCOD
b7400 45 5f 53 44 43 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f E_SDCT;........if.(Field_r_Slot_
b7420 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 20 26 26 0a 09 09 20 20 46 69 65 inst_get.(insn).==.14.&&.....Fie
b7440 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a ld_t_Slot_inst_get.(insn).==.0).
b7460 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 44 4f 3b 0a 09 20 20 20 20 20 20 69 66 20 ..return.OPCODE_RFDO;........if.
b7480 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_r_Slot_inst_get.(insn).==
b74a0 20 31 34 20 26 26 0a 09 09 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .14.&&.....Field_t_Slot_inst_get
b74c0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 46 .(insn).==.1)...return.OPCODE_RF
b74e0 44 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 DD;........if.(Field_r_Slot_inst
b7500 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f _get.(insn).==.15)...return.OPCO
b7520 44 45 5f 4c 44 50 54 45 3b 0a 09 20 20 20 20 7d 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 DE_LDPTE;......}..}.......if.(Fi
b7540 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op1_Slot_inst_get.(insn).==.
b7560 32 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 2)..{....if.(Field_op2_Slot_inst
b7580 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.8)......return.OP
b75a0 43 4f 44 45 5f 4d 55 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 CODE_MULL;....if.(Field_op2_Slot
b75c0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 20 20 20 20 72 65 74 _inst_get.(insn).==.12)......ret
b75e0 75 72 6e 20 4f 50 43 4f 44 45 5f 51 55 4f 55 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 urn.OPCODE_QUOU;....if.(Field_op
b7600 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 09 20 2_Slot_inst_get.(insn).==.13)...
b7620 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 51 55 4f 53 3b 0a 09 20 20 69 66 20 28 46 69 ...return.OPCODE_QUOS;....if.(Fi
b7640 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op2_Slot_inst_get.(insn).==.
b7660 31 34 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 4d 55 3b 0a 09 20 20 14)......return.OPCODE_REMU;....
b7680 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op2_Slot_inst_get.(ins
b76a0 6e 29 20 3d 3d 20 31 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 4d n).==.15)......return.OPCODE_REM
b76c0 53 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 S;..}.......if.(Field_op1_Slot_i
b76e0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 nst_get.(insn).==.3)..{....if.(F
b7700 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_op2_Slot_inst_get.(insn).==
b7720 20 30 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 .0)......{........if.(Field_sr_S
b7740 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 lot_inst_get.(insn).==.0)...retu
b7760 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 42 45 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 rn.OPCODE_RSR_LBEG;........if.(F
b7780 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
b77a0 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 45 4e 44 3b 0a 09 20 20 1)...return.OPCODE_RSR_LEND;....
b77c0 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ....if.(Field_sr_Slot_inst_get.(
b77e0 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f insn).==.2)...return.OPCODE_RSR_
b7800 4c 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 LCOUNT;........if.(Field_sr_Slot
b7820 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 72 65 74 75 72 6e 20 _inst_get.(insn).==.3)...return.
b7840 4f 50 43 4f 44 45 5f 52 53 52 5f 53 41 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 OPCODE_RSR_SAR;........if.(Field
b7860 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 _sr_Slot_inst_get.(insn).==.5)..
b7880 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4c 49 54 42 41 53 45 3b 0a 09 20 20 20 .return.OPCODE_RSR_LITBASE;.....
b78a0 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
b78c0 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f nsn).==.12)...return.OPCODE_RSR_
b78e0 53 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 SCOMPARE1;........if.(Field_sr_S
b7900 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 36 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.16)...ret
b7920 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 41 43 43 4c 4f 3b 0a 09 20 20 20 20 20 20 69 66 20 urn.OPCODE_RSR_ACCLO;........if.
b7940 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
b7960 3d 20 31 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 41 43 43 48 49 3b =.17)...return.OPCODE_RSR_ACCHI;
b7980 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
b79a0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 et.(insn).==.32)...return.OPCODE
b79c0 5f 52 53 52 5f 4d 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f _RSR_M0;........if.(Field_sr_Slo
b79e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 33 29 0a 09 09 72 65 74 75 72 t_inst_get.(insn).==.33)...retur
b7a00 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c n.OPCODE_RSR_M1;........if.(Fiel
b7a20 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 34 29 d_sr_Slot_inst_get.(insn).==.34)
b7a40 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 32 3b 0a 09 20 20 20 20 20 20 ...return.OPCODE_RSR_M2;........
b7a60 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.(Field_sr_Slot_inst_get.(insn
b7a80 29 20 3d 3d 20 33 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 33 3b ).==.35)...return.OPCODE_RSR_M3;
b7aa0 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
b7ac0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 et.(insn).==.72)...return.OPCODE
b7ae0 5f 52 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c _RSR_WINDOWBASE;........if.(Fiel
b7b00 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 33 29 d_sr_Slot_inst_get.(insn).==.73)
b7b20 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 57 49 4e 44 4f 57 53 54 41 52 54 ...return.OPCODE_RSR_WINDOWSTART
b7b40 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
b7b60 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 get.(insn).==.83)...return.OPCOD
b7b80 45 5f 52 53 52 5f 50 54 45 56 41 44 44 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 E_RSR_PTEVADDR;........if.(Field
b7ba0 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 30 29 0a _sr_Slot_inst_get.(insn).==.90).
b7bc0 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 52 41 53 49 44 3b 0a 09 20 20 20 20 ..return.OPCODE_RSR_RASID;......
b7be0 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
b7c00 73 6e 29 20 3d 3d 20 39 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 sn).==.91)...return.OPCODE_RSR_I
b7c20 54 4c 42 43 46 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 TLBCFG;........if.(Field_sr_Slot
b7c40 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 32 29 0a 09 09 72 65 74 75 72 6e _inst_get.(insn).==.92)...return
b7c60 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 54 4c 42 43 46 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 .OPCODE_RSR_DTLBCFG;........if.(
b7c80 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
b7ca0 20 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 42 52 45 41 4b 45 .96)...return.OPCODE_RSR_IBREAKE
b7cc0 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f NABLE;........if.(Field_sr_Slot_
b7ce0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 37 29 0a 09 09 72 65 74 75 72 6e 20 inst_get.(insn).==.97)...return.
b7d00 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 45 4d 43 54 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 OPCODE_RSR_MEMCTL;........if.(Fi
b7d20 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 eld_sr_Slot_inst_get.(insn).==.9
b7d40 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 41 54 4f 4d 43 54 4c 3b 0a 9)...return.OPCODE_RSR_ATOMCTL;.
b7d60 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
b7d80 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.104)...return.OPCODE
b7da0 5f 52 53 52 5f 44 44 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c _RSR_DDR;........if.(Field_sr_Sl
b7dc0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 38 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.128)...ret
b7de0 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 42 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 urn.OPCODE_RSR_IBREAKA0;........
b7e00 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.(Field_sr_Slot_inst_get.(insn
b7e20 29 20 3d 3d 20 31 32 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 42 ).==.129)...return.OPCODE_RSR_IB
b7e40 52 45 41 4b 41 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 REAKA1;........if.(Field_sr_Slot
b7e60 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 34 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.144)...retur
b7e80 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 n.OPCODE_RSR_DBREAKA0;........if
b7ea0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b7ec0 3d 3d 20 31 34 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 ==.145)...return.OPCODE_RSR_DBRE
b7ee0 41 4b 41 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 AKA1;........if.(Field_sr_Slot_i
b7f00 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 36 30 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.160)...return.
b7f20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 OPCODE_RSR_DBREAKC0;........if.(
b7f40 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
b7f60 20 31 36 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 44 42 52 45 41 4b .161)...return.OPCODE_RSR_DBREAK
b7f80 43 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 C1;........if.(Field_sr_Slot_ins
b7fa0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 t_get.(insn).==.176)...return.OP
b7fc0 43 4f 44 45 5f 52 53 52 5f 43 4f 4e 46 49 47 49 44 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 CODE_RSR_CONFIGID0;........if.(F
b7fe0 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
b8000 31 37 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 31 3b 0a 09 177)...return.OPCODE_RSR_EPC1;..
b8020 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
b8040 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f .(insn).==.178)...return.OPCODE_
b8060 52 53 52 5f 45 50 43 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c RSR_EPC2;........if.(Field_sr_Sl
b8080 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 39 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.179)...ret
b80a0 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 urn.OPCODE_RSR_EPC3;........if.(
b80c0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
b80e0 20 31 38 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 34 3b 0a .180)...return.OPCODE_RSR_EPC4;.
b8100 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
b8120 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.181)...return.OPCODE
b8140 5f 52 53 52 5f 45 50 43 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _RSR_EPC5;........if.(Field_sr_S
b8160 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 32 29 0a 09 09 72 65 lot_inst_get.(insn).==.182)...re
b8180 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 36 3b 0a 09 20 20 20 20 20 20 69 66 20 turn.OPCODE_RSR_EPC6;........if.
b81a0 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
b81c0 3d 20 31 38 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 43 37 3b =.183)...return.OPCODE_RSR_EPC7;
b81e0 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
b8200 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 et.(insn).==.192)...return.OPCOD
b8220 45 5f 52 53 52 5f 44 45 50 43 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f E_RSR_DEPC;........if.(Field_sr_
b8240 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 34 29 0a 09 09 72 Slot_inst_get.(insn).==.194)...r
b8260 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 32 3b 0a 09 20 20 20 20 20 20 69 66 eturn.OPCODE_RSR_EPS2;........if
b8280 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b82a0 3d 3d 20 31 39 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 33 ==.195)...return.OPCODE_RSR_EPS3
b82c0 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
b82e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f get.(insn).==.196)...return.OPCO
b8300 44 45 5f 52 53 52 5f 45 50 53 34 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 DE_RSR_EPS4;........if.(Field_sr
b8320 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 37 29 0a 09 09 _Slot_inst_get.(insn).==.197)...
b8340 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 35 3b 0a 09 20 20 20 20 20 20 69 return.OPCODE_RSR_EPS5;........i
b8360 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
b8380 20 3d 3d 20 31 39 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 50 53 .==.198)...return.OPCODE_RSR_EPS
b83a0 36 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 6;........if.(Field_sr_Slot_inst
b83c0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 _get.(insn).==.199)...return.OPC
b83e0 4f 44 45 5f 52 53 52 5f 45 50 53 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 ODE_RSR_EPS7;........if.(Field_s
b8400 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 30 38 29 0a 09 r_Slot_inst_get.(insn).==.208)..
b8420 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 4f 4e 46 49 47 49 44 31 3b 0a 09 20 .return.OPCODE_RSR_CONFIGID1;...
b8440 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
b8460 28 69 6e 73 6e 29 20 3d 3d 20 32 30 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 (insn).==.209)...return.OPCODE_R
b8480 53 52 5f 45 58 43 53 41 56 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 SR_EXCSAVE1;........if.(Field_sr
b84a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 30 29 0a 09 09 _Slot_inst_get.(insn).==.210)...
b84c0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 32 3b 0a 09 20 20 20 return.OPCODE_RSR_EXCSAVE2;.....
b84e0 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
b8500 6e 73 6e 29 20 3d 3d 20 32 31 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 nsn).==.211)...return.OPCODE_RSR
b8520 5f 45 58 43 53 41 56 45 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _EXCSAVE3;........if.(Field_sr_S
b8540 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 32 29 0a 09 09 72 65 lot_inst_get.(insn).==.212)...re
b8560 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 34 3b 0a 09 20 20 20 20 20 turn.OPCODE_RSR_EXCSAVE4;.......
b8580 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
b85a0 6e 29 20 3d 3d 20 32 31 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 n).==.213)...return.OPCODE_RSR_E
b85c0 58 43 53 41 56 45 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f XCSAVE5;........if.(Field_sr_Slo
b85e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 34 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.214)...retu
b8600 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 53 41 56 45 36 3b 0a 09 20 20 20 20 20 20 69 rn.OPCODE_RSR_EXCSAVE6;........i
b8620 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
b8640 20 3d 3d 20 32 31 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 .==.215)...return.OPCODE_RSR_EXC
b8660 53 41 56 45 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f SAVE7;........if.(Field_sr_Slot_
b8680 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 34 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.224)...return
b86a0 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 50 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 .OPCODE_RSR_CPENABLE;........if.
b86c0 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
b86e0 3d 20 32 32 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 4e 54 45 52 =.226)...return.OPCODE_RSR_INTER
b8700 52 55 50 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 RUPT;........if.(Field_sr_Slot_i
b8720 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 38 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.228)...return.
b8740 4f 50 43 4f 44 45 5f 52 53 52 5f 49 4e 54 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 OPCODE_RSR_INTENABLE;........if.
b8760 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
b8780 3d 20 32 33 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 50 53 3b 0a 09 =.230)...return.OPCODE_RSR_PS;..
b87a0 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
b87c0 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f .(insn).==.231)...return.OPCODE_
b87e0 52 53 52 5f 56 45 43 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 RSR_VECBASE;........if.(Field_sr
b8800 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 32 29 0a 09 09 _Slot_inst_get.(insn).==.232)...
b8820 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 45 58 43 43 41 55 53 45 3b 0a 09 20 20 20 return.OPCODE_RSR_EXCCAUSE;.....
b8840 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
b8860 6e 73 6e 29 20 3d 3d 20 32 33 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 nsn).==.233)...return.OPCODE_RSR
b8880 5f 44 45 42 55 47 43 41 55 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 _DEBUGCAUSE;........if.(Field_sr
b88a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 34 29 0a 09 09 _Slot_inst_get.(insn).==.234)...
b88c0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 return.OPCODE_RSR_CCOUNT;.......
b88e0 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
b8900 6e 29 20 3d 3d 20 32 33 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 50 n).==.235)...return.OPCODE_RSR_P
b8920 52 49 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e RID;........if.(Field_sr_Slot_in
b8940 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 36 29 0a 09 09 72 65 74 75 72 6e 20 4f st_get.(insn).==.236)...return.O
b8960 50 43 4f 44 45 5f 52 53 52 5f 49 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 PCODE_RSR_ICOUNT;........if.(Fie
b8980 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 ld_sr_Slot_inst_get.(insn).==.23
b89a0 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 49 43 4f 55 4e 54 4c 45 56 7)...return.OPCODE_RSR_ICOUNTLEV
b89c0 45 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 EL;........if.(Field_sr_Slot_ins
b89e0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 t_get.(insn).==.238)...return.OP
b8a00 43 4f 44 45 5f 52 53 52 5f 45 58 43 56 41 44 44 52 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 CODE_RSR_EXCVADDR;........if.(Fi
b8a20 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 eld_sr_Slot_inst_get.(insn).==.2
b8a40 34 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 41 52 45 40)...return.OPCODE_RSR_CCOMPARE
b8a60 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 0;........if.(Field_sr_Slot_inst
b8a80 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 _get.(insn).==.241)...return.OPC
b8aa0 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 ODE_RSR_CCOMPARE1;........if.(Fi
b8ac0 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 eld_sr_Slot_inst_get.(insn).==.2
b8ae0 34 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 43 43 4f 4d 50 41 52 45 42)...return.OPCODE_RSR_CCOMPARE
b8b00 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 2;........if.(Field_sr_Slot_inst
b8b20 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 _get.(insn).==.244)...return.OPC
b8b40 4f 44 45 5f 52 53 52 5f 4d 49 53 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f ODE_RSR_MISC0;........if.(Field_
b8b60 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 35 29 0a sr_Slot_inst_get.(insn).==.245).
b8b80 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 53 52 5f 4d 49 53 43 31 3b 0a 09 20 20 20 20 ..return.OPCODE_RSR_MISC1;......
b8ba0 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 }....if.(Field_op2_Slot_inst_get
b8bc0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 .(insn).==.1)......{........if.(
b8be0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
b8c00 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 42 45 47 3b 0a 09 20 .0)...return.OPCODE_WSR_LBEG;...
b8c20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
b8c40 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 (insn).==.1)...return.OPCODE_WSR
b8c60 5f 4c 45 4e 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f _LEND;........if.(Field_sr_Slot_
b8c80 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f inst_get.(insn).==.2)...return.O
b8ca0 50 43 4f 44 45 5f 57 53 52 5f 4c 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 PCODE_WSR_LCOUNT;........if.(Fie
b8cc0 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 ld_sr_Slot_inst_get.(insn).==.3)
b8ce0 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 53 41 52 3b 0a 09 20 20 20 20 20 ...return.OPCODE_WSR_SAR;.......
b8d00 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
b8d20 6e 29 20 3d 3d 20 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4c 49 54 n).==.5)...return.OPCODE_WSR_LIT
b8d40 42 41 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 BASE;........if.(Field_sr_Slot_i
b8d60 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 09 72 65 74 75 72 6e 20 4f nst_get.(insn).==.12)...return.O
b8d80 50 43 4f 44 45 5f 57 53 52 5f 53 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 PCODE_WSR_SCOMPARE1;........if.(
b8da0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
b8dc0 20 31 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 41 43 43 4c 4f 3b 0a .16)...return.OPCODE_WSR_ACCLO;.
b8de0 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
b8e00 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f t.(insn).==.17)...return.OPCODE_
b8e20 57 53 52 5f 41 43 43 48 49 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 WSR_ACCHI;........if.(Field_sr_S
b8e40 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 32 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.32)...ret
b8e60 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 urn.OPCODE_WSR_M0;........if.(Fi
b8e80 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 eld_sr_Slot_inst_get.(insn).==.3
b8ea0 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 31 3b 0a 09 20 20 20 20 3)...return.OPCODE_WSR_M1;......
b8ec0 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
b8ee0 73 6e 29 20 3d 3d 20 33 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d sn).==.34)...return.OPCODE_WSR_M
b8f00 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 2;........if.(Field_sr_Slot_inst
b8f20 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f _get.(insn).==.35)...return.OPCO
b8f40 44 45 5f 57 53 52 5f 4d 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 DE_WSR_M3;........if.(Field_sr_S
b8f60 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 32 29 0a 09 09 72 65 74 lot_inst_get.(insn).==.72)...ret
b8f80 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 57 49 4e 44 4f 57 42 41 53 45 3b 0a 09 20 20 20 20 urn.OPCODE_WSR_WINDOWBASE;......
b8fa0 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
b8fc0 73 6e 29 20 3d 3d 20 37 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 57 sn).==.73)...return.OPCODE_WSR_W
b8fe0 49 4e 44 4f 57 53 54 41 52 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f INDOWSTART;........if.(Field_sr_
b9000 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 33 29 0a 09 09 72 65 Slot_inst_get.(insn).==.83)...re
b9020 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 50 54 45 56 41 44 44 52 3b 0a 09 20 20 20 20 20 turn.OPCODE_WSR_PTEVADDR;.......
b9040 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
b9060 6e 29 20 3d 3d 20 38 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 4d n).==.89)...return.OPCODE_WSR_MM
b9080 49 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 ID;........if.(Field_sr_Slot_ins
b90a0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 t_get.(insn).==.90)...return.OPC
b90c0 4f 44 45 5f 57 53 52 5f 52 41 53 49 44 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f ODE_WSR_RASID;........if.(Field_
b90e0 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 31 29 0a 09 sr_Slot_inst_get.(insn).==.91)..
b9100 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 54 4c 42 43 46 47 3b 0a 09 20 20 20 .return.OPCODE_WSR_ITLBCFG;.....
b9120 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
b9140 6e 73 6e 29 20 3d 3d 20 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f nsn).==.92)...return.OPCODE_WSR_
b9160 44 54 4c 42 43 46 47 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f DTLBCFG;........if.(Field_sr_Slo
b9180 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 36 29 0a 09 09 72 65 74 75 72 t_inst_get.(insn).==.96)...retur
b91a0 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 42 52 45 41 4b 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 n.OPCODE_WSR_IBREAKENABLE;......
b91c0 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ..if.(Field_sr_Slot_inst_get.(in
b91e0 73 6e 29 20 3d 3d 20 39 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d sn).==.97)...return.OPCODE_WSR_M
b9200 45 4d 43 54 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f EMCTL;........if.(Field_sr_Slot_
b9220 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 39 29 0a 09 09 72 65 74 75 72 6e 20 inst_get.(insn).==.99)...return.
b9240 4f 50 43 4f 44 45 5f 57 53 52 5f 41 54 4f 4d 43 54 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 OPCODE_WSR_ATOMCTL;........if.(F
b9260 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
b9280 31 30 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 44 52 3b 0a 09 20 104)...return.OPCODE_WSR_DDR;...
b92a0 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
b92c0 28 69 6e 73 6e 29 20 3d 3d 20 31 32 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 (insn).==.128)...return.OPCODE_W
b92e0 53 52 5f 49 42 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 SR_IBREAKA0;........if.(Field_sr
b9300 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 39 29 0a 09 09 _Slot_inst_get.(insn).==.129)...
b9320 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 42 52 45 41 4b 41 31 3b 0a 09 20 20 20 return.OPCODE_WSR_IBREAKA1;.....
b9340 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
b9360 6e 73 6e 29 20 3d 3d 20 31 34 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 nsn).==.144)...return.OPCODE_WSR
b9380 5f 44 42 52 45 41 4b 41 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _DBREAKA0;........if.(Field_sr_S
b93a0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 35 29 0a 09 09 72 65 lot_inst_get.(insn).==.145)...re
b93c0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 42 52 45 41 4b 41 31 3b 0a 09 20 20 20 20 20 turn.OPCODE_WSR_DBREAKA1;.......
b93e0 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
b9400 6e 29 20 3d 3d 20 31 36 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 n).==.160)...return.OPCODE_WSR_D
b9420 42 52 45 41 4b 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f BREAKC0;........if.(Field_sr_Slo
b9440 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 36 31 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.161)...retu
b9460 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 42 52 45 41 4b 43 31 3b 0a 09 20 20 20 20 20 20 69 rn.OPCODE_WSR_DBREAKC1;........i
b9480 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
b94a0 20 3d 3d 20 31 37 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 4f 4e .==.176)...return.OPCODE_WSR_CON
b94c0 46 49 47 49 44 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 FIGID0;........if.(Field_sr_Slot
b94e0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 37 37 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.177)...retur
b9500 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 n.OPCODE_WSR_EPC1;........if.(Fi
b9520 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 eld_sr_Slot_inst_get.(insn).==.1
b9540 37 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 32 3b 0a 09 20 78)...return.OPCODE_WSR_EPC2;...
b9560 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
b9580 28 69 6e 73 6e 29 20 3d 3d 20 31 37 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 (insn).==.179)...return.OPCODE_W
b95a0 53 52 5f 45 50 43 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f SR_EPC3;........if.(Field_sr_Slo
b95c0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 30 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.180)...retu
b95e0 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 34 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 rn.OPCODE_WSR_EPC4;........if.(F
b9600 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
b9620 31 38 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 35 3b 0a 09 181)...return.OPCODE_WSR_EPC5;..
b9640 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ......if.(Field_sr_Slot_inst_get
b9660 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f .(insn).==.182)...return.OPCODE_
b9680 57 53 52 5f 45 50 43 36 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c WSR_EPC6;........if.(Field_sr_Sl
b96a0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 38 33 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.183)...ret
b96c0 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 43 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 urn.OPCODE_WSR_EPC7;........if.(
b96e0 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_sr_Slot_inst_get.(insn).==
b9700 20 31 39 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 45 50 43 3b 0a .192)...return.OPCODE_WSR_DEPC;.
b9720 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
b9740 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.194)...return.OPCODE
b9760 5f 57 53 52 5f 45 50 53 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _WSR_EPS2;........if.(Field_sr_S
b9780 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 35 29 0a 09 09 72 65 lot_inst_get.(insn).==.195)...re
b97a0 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 33 3b 0a 09 20 20 20 20 20 20 69 66 20 turn.OPCODE_WSR_EPS3;........if.
b97c0 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
b97e0 3d 20 31 39 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 34 3b =.196)...return.OPCODE_WSR_EPS4;
b9800 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ........if.(Field_sr_Slot_inst_g
b9820 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 et.(insn).==.197)...return.OPCOD
b9840 45 5f 57 53 52 5f 45 50 53 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f E_WSR_EPS5;........if.(Field_sr_
b9860 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 39 38 29 0a 09 09 72 Slot_inst_get.(insn).==.198)...r
b9880 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 36 3b 0a 09 20 20 20 20 20 20 69 66 eturn.OPCODE_WSR_EPS6;........if
b98a0 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b98c0 3d 3d 20 31 39 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 50 53 37 ==.199)...return.OPCODE_WSR_EPS7
b98e0 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ;........if.(Field_sr_Slot_inst_
b9900 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 30 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f get.(insn).==.209)...return.OPCO
b9920 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 31 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c DE_WSR_EXCSAVE1;........if.(Fiel
b9940 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 30 d_sr_Slot_inst_get.(insn).==.210
b9960 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 32 3b 0a )...return.OPCODE_WSR_EXCSAVE2;.
b9980 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
b99a0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.211)...return.OPCODE
b99c0 5f 57 53 52 5f 45 58 43 53 41 56 45 33 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f _WSR_EXCSAVE3;........if.(Field_
b99e0 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 32 29 0a sr_Slot_inst_get.(insn).==.212).
b9a00 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 34 3b 0a 09 20 ..return.OPCODE_WSR_EXCSAVE4;...
b9a20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 .....if.(Field_sr_Slot_inst_get.
b9a40 28 69 6e 73 6e 29 20 3d 3d 20 32 31 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 (insn).==.213)...return.OPCODE_W
b9a60 53 52 5f 45 58 43 53 41 56 45 35 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 SR_EXCSAVE5;........if.(Field_sr
b9a80 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 31 34 29 0a 09 09 _Slot_inst_get.(insn).==.214)...
b9aa0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 53 41 56 45 36 3b 0a 09 20 20 20 return.OPCODE_WSR_EXCSAVE6;.....
b9ac0 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ...if.(Field_sr_Slot_inst_get.(i
b9ae0 6e 73 6e 29 20 3d 3d 20 32 31 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 nsn).==.215)...return.OPCODE_WSR
b9b00 5f 45 58 43 53 41 56 45 37 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 _EXCSAVE7;........if.(Field_sr_S
b9b20 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 34 29 0a 09 09 72 65 lot_inst_get.(insn).==.224)...re
b9b40 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 50 45 4e 41 42 4c 45 3b 0a 09 20 20 20 20 20 turn.OPCODE_WSR_CPENABLE;.......
b9b60 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .if.(Field_sr_Slot_inst_get.(ins
b9b80 6e 29 20 3d 3d 20 32 32 36 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 n).==.226)...return.OPCODE_WSR_I
b9ba0 4e 54 53 45 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f NTSET;........if.(Field_sr_Slot_
b9bc0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 32 37 29 0a 09 09 72 65 74 75 72 6e inst_get.(insn).==.227)...return
b9be0 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 4e 54 43 4c 45 41 52 3b 0a 09 20 20 20 20 20 20 69 66 20 .OPCODE_WSR_INTCLEAR;........if.
b9c00 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d (Field_sr_Slot_inst_get.(insn).=
b9c20 3d 20 32 32 38 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 4e 54 45 4e =.228)...return.OPCODE_WSR_INTEN
b9c40 41 42 4c 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 ABLE;........if.(Field_sr_Slot_i
b9c60 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 30 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.230)...return.
b9c80 4f 50 43 4f 44 45 5f 57 53 52 5f 50 53 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f OPCODE_WSR_PS;........if.(Field_
b9ca0 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a sr_Slot_inst_get.(insn).==.231).
b9cc0 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 56 45 43 42 41 53 45 3b 0a 09 20 20 ..return.OPCODE_WSR_VECBASE;....
b9ce0 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ....if.(Field_sr_Slot_inst_get.(
b9d00 69 6e 73 6e 29 20 3d 3d 20 32 33 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 insn).==.232)...return.OPCODE_WS
b9d20 52 5f 45 58 43 43 41 55 53 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f R_EXCCAUSE;........if.(Field_sr_
b9d40 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 33 29 0a 09 09 72 Slot_inst_get.(insn).==.233)...r
b9d60 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 44 45 42 55 47 43 41 55 53 45 3b 0a 09 20 20 eturn.OPCODE_WSR_DEBUGCAUSE;....
b9d80 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ....if.(Field_sr_Slot_inst_get.(
b9da0 69 6e 73 6e 29 20 3d 3d 20 32 33 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 insn).==.234)...return.OPCODE_WS
b9dc0 52 5f 43 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c R_CCOUNT;........if.(Field_sr_Sl
b9de0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 36 29 0a 09 09 72 65 74 ot_inst_get.(insn).==.236)...ret
b9e00 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 43 4f 55 4e 54 3b 0a 09 20 20 20 20 20 20 69 66 urn.OPCODE_WSR_ICOUNT;........if
b9e20 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_sr_Slot_inst_get.(insn).
b9e40 3d 3d 20 32 33 37 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 49 43 4f 55 ==.237)...return.OPCODE_WSR_ICOU
b9e60 4e 54 4c 45 56 45 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f NTLEVEL;........if.(Field_sr_Slo
b9e80 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 38 29 0a 09 09 72 65 74 75 t_inst_get.(insn).==.238)...retu
b9ea0 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 45 58 43 56 41 44 44 52 3b 0a 09 20 20 20 20 20 20 69 rn.OPCODE_WSR_EXCVADDR;........i
b9ec0 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
b9ee0 20 3d 3d 20 32 34 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f .==.240)...return.OPCODE_WSR_CCO
b9f00 4d 50 41 52 45 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 MPARE0;........if.(Field_sr_Slot
b9f20 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 31 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.241)...retur
b9f40 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f 4d 50 41 52 45 31 3b 0a 09 20 20 20 20 20 20 69 n.OPCODE_WSR_CCOMPARE1;........i
b9f60 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 f.(Field_sr_Slot_inst_get.(insn)
b9f80 20 3d 3d 20 32 34 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 43 43 4f .==.242)...return.OPCODE_WSR_CCO
b9fa0 4d 50 41 52 45 32 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 MPARE2;........if.(Field_sr_Slot
b9fc0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 34 34 29 0a 09 09 72 65 74 75 72 _inst_get.(insn).==.244)...retur
b9fe0 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 49 53 43 30 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 n.OPCODE_WSR_MISC0;........if.(F
ba000 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ield_sr_Slot_inst_get.(insn).==.
ba020 32 34 35 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 53 52 5f 4d 49 53 43 31 3b 0a 245)...return.OPCODE_WSR_MISC1;.
ba040 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 .....}....if.(Field_op2_Slot_ins
ba060 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.2)......return.O
ba080 50 43 4f 44 45 5f 53 45 58 54 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f PCODE_SEXT;....if.(Field_op2_Slo
ba0a0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.3)......ret
ba0c0 75 72 6e 20 4f 50 43 4f 44 45 5f 43 4c 41 4d 50 53 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f urn.OPCODE_CLAMPS;....if.(Field_
ba0e0 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 op2_Slot_inst_get.(insn).==.4)..
ba100 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 49 4e 3b 0a 09 20 20 69 66 20 28 46 69 ....return.OPCODE_MIN;....if.(Fi
ba120 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op2_Slot_inst_get.(insn).==.
ba140 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 41 58 3b 0a 09 20 20 69 66 5)......return.OPCODE_MAX;....if
ba160 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op2_Slot_inst_get.(insn)
ba180 20 3d 3d 20 36 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 49 4e 55 3b 0a .==.6)......return.OPCODE_MINU;.
ba1a0 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op2_Slot_inst_get.(
ba1c0 69 6e 73 6e 29 20 3d 3d 20 37 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d insn).==.7)......return.OPCODE_M
ba1e0 41 58 55 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f AXU;....if.(Field_op2_Slot_inst_
ba200 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 get.(insn).==.8)......return.OPC
ba220 4f 44 45 5f 4d 4f 56 45 51 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f ODE_MOVEQZ;....if.(Field_op2_Slo
ba240 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.9)......ret
ba260 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 4e 45 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f urn.OPCODE_MOVNEZ;....if.(Field_
ba280 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 29 0a op2_Slot_inst_get.(insn).==.10).
ba2a0 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 4c 54 5a 3b 0a 09 20 20 69 66 .....return.OPCODE_MOVLTZ;....if
ba2c0 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op2_Slot_inst_get.(insn)
ba2e0 20 3d 3d 20 31 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 47 45 .==.11)......return.OPCODE_MOVGE
ba300 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 Z;....if.(Field_op2_Slot_inst_ge
ba320 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 t.(insn).==.14)......{........if
ba340 20 28 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 .(Field_st_Slot_inst_get.(insn).
ba360 3d 3d 20 32 33 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 55 52 5f 45 58 50 53 ==.230)...return.OPCODE_RUR_EXPS
ba380 54 41 54 45 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 TATE;........if.(Field_st_Slot_i
ba3a0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a 09 09 72 65 74 75 72 6e 20 nst_get.(insn).==.231)...return.
ba3c0 4f 50 43 4f 44 45 5f 52 55 52 5f 54 48 52 45 41 44 50 54 52 3b 0a 09 20 20 20 20 7d 0a 09 20 20 OPCODE_RUR_THREADPTR;......}....
ba3e0 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op2_Slot_inst_get.(ins
ba400 6e 29 20 3d 3d 20 31 35 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c n).==.15)......{........if.(Fiel
ba420 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 30 d_sr_Slot_inst_get.(insn).==.230
ba440 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 57 55 52 5f 45 58 50 53 54 41 54 45 3b 0a )...return.OPCODE_WUR_EXPSTATE;.
ba460 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .......if.(Field_sr_Slot_inst_ge
ba480 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 33 31 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 t.(insn).==.231)...return.OPCODE
ba4a0 5f 57 55 52 5f 54 48 52 45 41 44 50 54 52 3b 0a 09 20 20 20 20 7d 0a 09 7d 0a 20 20 20 20 20 20 _WUR_THREADPTR;......}..}.......
ba4c0 69 66 20 28 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e if.((Field_op1_Slot_inst_get.(in
ba4e0 73 6e 29 20 3d 3d 20 34 20 7c 7c 0a 09 20 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 sn).==.4.||.....Field_op1_Slot_i
ba500 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 29 0a 09 72 65 74 75 72 6e 20 4f 50 nst_get.(insn).==.5))..return.OP
ba520 43 4f 44 45 5f 45 58 54 55 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f CODE_EXTUI;.......if.(Field_op1_
ba540 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 7b 0a 09 20 Slot_inst_get.(insn).==.9)..{...
ba560 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op2_Slot_inst_get.(in
ba580 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 33 32 sn).==.0)......return.OPCODE_L32
ba5a0 45 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 E;....if.(Field_op2_Slot_inst_ge
ba5c0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 t.(insn).==.4)......return.OPCOD
ba5e0 45 5f 53 33 32 45 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e E_S32E;....if.(Field_op2_Slot_in
ba600 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.5)......return.
ba620 4f 50 43 4f 44 45 5f 53 33 32 4e 42 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 OPCODE_S32NB;..}.......if.(Field
ba640 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a _r_Slot_inst_get.(insn).==.0.&&.
ba660 09 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 ...Field_s_Slot_inst_get.(insn).
ba680 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 ==.0.&&....Field_op2_Slot_inst_g
ba6a0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 et.(insn).==.0.&&....Field_op1_S
ba6c0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 72 65 74 75 lot_inst_get.(insn).==.14)..retu
ba6e0 72 6e 20 4f 50 43 4f 44 45 5f 52 45 41 44 5f 49 4d 50 57 49 52 45 3b 0a 20 20 20 20 20 20 69 66 rn.OPCODE_READ_IMPWIRE;.......if
ba700 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .(Field_r_Slot_inst_get.(insn).=
ba720 3d 20 31 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.1.&&....Field_s3to1_Slot_inst_
ba740 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 32 5f get.(insn).==.0.&&....Field_op2_
ba760 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
ba780 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_op1_Slot_inst_get.(insn).=
ba7a0 3d 20 31 34 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 45 54 42 5f 45 58 50 53 54 41 =.14)..return.OPCODE_SETB_EXPSTA
ba7c0 54 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f TE;.......if.(Field_r_Slot_inst_
ba7e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 73 33 74 6f get.(insn).==.1.&&....Field_s3to
ba800 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 1_Slot_inst_get.(insn).==.1.&&..
ba820 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ..Field_op2_Slot_inst_get.(insn)
ba840 20 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f .==.0.&&....Field_op1_Slot_inst_
ba860 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 get.(insn).==.14)..return.OPCODE
ba880 5f 43 4c 52 42 5f 45 58 50 53 54 41 54 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f _CLRB_EXPSTATE;.......if.(Field_
ba8a0 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 20 26 26 0a 09 r_Slot_inst_get.(insn).==.2.&&..
ba8c0 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ..Field_op2_Slot_inst_get.(insn)
ba8e0 20 3d 3d 20 30 20 26 26 0a 09 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f .==.0.&&....Field_op1_Slot_inst_
ba900 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 get.(insn).==.14)..return.OPCODE
ba920 5f 57 52 4d 53 4b 5f 45 58 50 53 54 41 54 45 3b 0a 20 20 20 20 7d 0a 20 20 69 66 20 28 46 69 65 _WRMSK_EXPSTATE;.....}...if.(Fie
ba940 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 ld_op0_Slot_inst_get.(insn).==.1
ba960 29 0a 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 33 32 52 3b 0a 20 20 69 66 20 28 ).....return.OPCODE_L32R;...if.(
ba980 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_op0_Slot_inst_get.(insn).=
ba9a0 3d 20 32 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f =.2).....{.......if.(Field_r_Slo
ba9c0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 72 65 74 75 72 6e 20 t_inst_get.(insn).==.0)..return.
ba9e0 4f 50 43 4f 44 45 5f 4c 38 55 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 OPCODE_L8UI;.......if.(Field_r_S
baa00 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 72 65 74 75 72 lot_inst_get.(insn).==.1)..retur
baa20 6e 20 4f 50 43 4f 44 45 5f 4c 31 36 55 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f n.OPCODE_L16UI;.......if.(Field_
baa40 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 72 65 r_Slot_inst_get.(insn).==.2)..re
baa60 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 33 32 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c turn.OPCODE_L32I;.......if.(Fiel
baa80 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 d_r_Slot_inst_get.(insn).==.4)..
baaa0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 38 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 return.OPCODE_S8I;.......if.(Fie
baac0 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a ld_r_Slot_inst_get.(insn).==.5).
baae0 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 31 36 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 .return.OPCODE_S16I;.......if.(F
bab00 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 ield_r_Slot_inst_get.(insn).==.6
bab20 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 33 32 49 3b 0a 20 20 20 20 20 20 69 66 20 )..return.OPCODE_S32I;.......if.
bab40 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_r_Slot_inst_get.(insn).==
bab60 20 37 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f .7)..{....if.(Field_t_Slot_inst_
bab80 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 get.(insn).==.0)......return.OPC
baba0 4f 44 45 5f 44 50 46 52 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e ODE_DPFR;....if.(Field_t_Slot_in
babc0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.1)......return.
babe0 4f 50 43 4f 44 45 5f 44 50 46 57 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 OPCODE_DPFW;....if.(Field_t_Slot
bac00 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 _inst_get.(insn).==.2)......retu
bac20 72 6e 20 4f 50 43 4f 44 45 5f 44 50 46 52 4f 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f rn.OPCODE_DPFRO;....if.(Field_t_
bac40 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.3)......
bac60 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 50 46 57 4f 3b 0a 09 20 20 69 66 20 28 46 69 65 6c return.OPCODE_DPFWO;....if.(Fiel
bac80 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 d_t_Slot_inst_get.(insn).==.4)..
baca0 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 48 57 42 3b 0a 09 20 20 69 66 20 28 46 ....return.OPCODE_DHWB;....if.(F
bacc0 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 ield_t_Slot_inst_get.(insn).==.5
bace0 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 48 57 42 49 3b 0a 09 20 20 69 )......return.OPCODE_DHWBI;....i
bad00 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_t_Slot_inst_get.(insn).
bad20 3d 3d 20 36 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 48 49 3b 0a 09 20 ==.6)......return.OPCODE_DHI;...
bad40 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .if.(Field_t_Slot_inst_get.(insn
bad60 29 20 3d 3d 20 37 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 49 49 3b 0a ).==.7)......return.OPCODE_DII;.
bad80 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ...if.(Field_t_Slot_inst_get.(in
bada0 73 6e 29 20 3d 3d 20 38 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c sn).==.8)......{........if.(Fiel
badc0 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 d_op1_Slot_inst_get.(insn).==.0)
bade0 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 50 46 4c 3b 0a 09 20 20 20 20 20 20 69 66 ...return.OPCODE_DPFL;........if
bae00 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op1_Slot_inst_get.(insn)
bae20 20 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 48 55 3b 0a 09 20 20 20 .==.2)...return.OPCODE_DHU;.....
bae40 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op1_Slot_inst_get.(
bae60 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 49 55 3b insn).==.3)...return.OPCODE_DIU;
bae80 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f ........if.(Field_op1_Slot_inst_
baea0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 get.(insn).==.4)...return.OPCODE
baec0 5f 44 49 57 42 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 _DIWB;........if.(Field_op1_Slot
baee0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 09 72 65 74 75 72 6e 20 _inst_get.(insn).==.5)...return.
baf00 4f 50 43 4f 44 45 5f 44 49 57 42 49 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f OPCODE_DIWBI;........if.(Field_o
baf20 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 20 26 26 p1_Slot_inst_get.(insn).==.15.&&
baf40 0a 09 09 20 20 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .....Field_op2_Slot_inst_get.(in
baf60 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 44 49 57 42 55 49 sn).==.0)...return.OPCODE_DIWBUI
baf80 5f 50 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 _P;......}....if.(Field_t_Slot_i
bafa0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 20 20 20 20 72 65 74 75 72 nst_get.(insn).==.12)......retur
bafc0 6e 20 4f 50 43 4f 44 45 5f 49 50 46 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f n.OPCODE_IPF;....if.(Field_t_Slo
bafe0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 09 20 20 20 20 7b 0a t_inst_get.(insn).==.13)......{.
bb000 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .......if.(Field_op1_Slot_inst_g
bb020 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f et.(insn).==.0)...return.OPCODE_
bb040 49 50 46 4c 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f IPFL;........if.(Field_op1_Slot_
bb060 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 09 72 65 74 75 72 6e 20 4f inst_get.(insn).==.2)...return.O
bb080 50 43 4f 44 45 5f 49 48 55 3b 0a 09 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f PCODE_IHU;........if.(Field_op1_
bb0a0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 09 72 65 74 Slot_inst_get.(insn).==.3)...ret
bb0c0 75 72 6e 20 4f 50 43 4f 44 45 5f 49 49 55 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 urn.OPCODE_IIU;......}....if.(Fi
bb0e0 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 eld_t_Slot_inst_get.(insn).==.14
bb100 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 49 48 49 3b 0a 09 20 20 69 66 20 )......return.OPCODE_IHI;....if.
bb120 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_t_Slot_inst_get.(insn).==
bb140 20 31 35 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 49 49 49 3b 0a 09 7d 0a .15)......return.OPCODE_III;..}.
bb160 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ......if.(Field_r_Slot_inst_get.
bb180 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 31 36 53 (insn).==.9)..return.OPCODE_L16S
bb1a0 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 I;.......if.(Field_r_Slot_inst_g
bb1c0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f et.(insn).==.10)..return.OPCODE_
bb1e0 4d 4f 56 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 MOVI;.......if.(Field_r_Slot_ins
bb200 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f t_get.(insn).==.11)..return.OPCO
bb220 44 45 5f 4c 33 32 41 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 DE_L32AI;.......if.(Field_r_Slot
bb240 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 72 65 74 75 72 6e 20 _inst_get.(insn).==.12)..return.
bb260 4f 50 43 4f 44 45 5f 41 44 44 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 OPCODE_ADDI;.......if.(Field_r_S
bb280 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 09 72 65 74 75 lot_inst_get.(insn).==.13)..retu
bb2a0 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 4d 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 rn.OPCODE_ADDMI;.......if.(Field
bb2c0 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 29 0a 09 _r_Slot_inst_get.(insn).==.14)..
bb2e0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 33 32 43 31 49 3b 0a 20 20 20 20 20 20 69 66 20 28 return.OPCODE_S32C1I;.......if.(
bb300 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
bb320 31 35 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 33 32 52 49 3b 0a 20 20 20 20 7d 0a 15)..return.OPCODE_S32RI;.....}.
bb340 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op0_Slot_inst_get.(i
bb360 6e 73 6e 29 20 3d 3d 20 34 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 nsn).==.4).....{.......if.(Field
bb380 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a _op2_Slot_inst_get.(insn).==.0).
bb3a0 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .{....if.(Field_op1_Slot_inst_ge
bb3c0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 t.(insn).==.8.&&........Field_t3
bb3e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.0.&&...
bb400 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .....Field_tlo_Slot_inst_get.(in
bb420 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 sn).==.0.&&........Field_r3_Slot
bb440 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 _inst_get.(insn).==.0)......retu
bb460 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 4c 5f 4c 44 49 4e 43 3b 0a 09 20 20 69 rn.OPCODE_MULA_DD_LL_LDINC;....i
bb480 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e f.(Field_op1_Slot_inst_get.(insn
bb4a0 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 ).==.9.&&........Field_t3_Slot_i
bb4c0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
bb4e0 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_tlo_Slot_inst_get.(insn).==.
bb500 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 0.&&........Field_r3_Slot_inst_g
bb520 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
bb540 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 5f 4c 44 49 4e 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c DE_MULA_DD_HL_LDINC;....if.(Fiel
bb560 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 d_op1_Slot_inst_get.(insn).==.10
bb580 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .&&........Field_t3_Slot_inst_ge
bb5a0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c t.(insn).==.0.&&........Field_tl
bb5c0 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 o_Slot_inst_get.(insn).==.0.&&..
bb5e0 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_r3_Slot_inst_get.(in
bb600 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c sn).==.0)......return.OPCODE_MUL
bb620 41 5f 44 44 5f 4c 48 5f 4c 44 49 4e 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f A_DD_LH_LDINC;....if.(Field_op1_
bb640 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 Slot_inst_get.(insn).==.11.&&...
bb660 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .....Field_t3_Slot_inst_get.(ins
bb680 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 n).==.0.&&........Field_tlo_Slot
bb6a0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
bb6c0 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_r3_Slot_inst_get.(insn).==
bb6e0 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 .0)......return.OPCODE_MULA_DD_H
bb700 48 5f 4c 44 49 4e 43 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f H_LDINC;..}.......if.(Field_op2_
bb720 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 7b 0a 09 20 Slot_inst_get.(insn).==.1)..{...
bb740 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op1_Slot_inst_get.(in
bb760 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 sn).==.8.&&........Field_t3_Slot
bb780 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
bb7a0 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_tlo_Slot_inst_get.(insn).=
bb7c0 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 =.0.&&........Field_r3_Slot_inst
bb7e0 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.0)......return.OP
bb800 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c 4c 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 CODE_MULA_DD_LL_LDDEC;....if.(Fi
bb820 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op1_Slot_inst_get.(insn).==.
bb840 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 9.&&........Field_t3_Slot_inst_g
bb860 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 et.(insn).==.0.&&........Field_t
bb880 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a lo_Slot_inst_get.(insn).==.0.&&.
bb8a0 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 .......Field_r3_Slot_inst_get.(i
bb8c0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
bb8e0 4c 41 5f 44 44 5f 48 4c 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 LA_DD_HL_LDDEC;....if.(Field_op1
bb900 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 _Slot_inst_get.(insn).==.10.&&..
bb920 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_t3_Slot_inst_get.(in
bb940 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f sn).==.0.&&........Field_tlo_Slo
bb960 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.0.&&.......
bb980 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .Field_r3_Slot_inst_get.(insn).=
bb9a0 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f =.0)......return.OPCODE_MULA_DD_
bb9c0 4c 48 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f LH_LDDEC;....if.(Field_op1_Slot_
bb9e0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 20 20 20 inst_get.(insn).==.11.&&........
bba00 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_t3_Slot_inst_get.(insn).==
bba20 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 .0.&&........Field_tlo_Slot_inst
bba40 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.0.&&........Field
bba60 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 _r3_Slot_inst_get.(insn).==.0)..
bba80 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 48 5f 4c 44 44 ....return.OPCODE_MULA_DD_HH_LDD
bbaa0 45 43 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f EC;..}.......if.(Field_op2_Slot_
bbac0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 7b 0a 09 20 20 69 66 20 28 inst_get.(insn).==.2)..{....if.(
bbae0 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_op1_Slot_inst_get.(insn).=
bbb00 3d 20 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.4.&&........Field_s_Slot_inst_
bbb20 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f get.(insn).==.0.&&........Field_
bbb40 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 w_Slot_inst_get.(insn).==.0.&&..
bbb60 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_r3_Slot_inst_get.(in
bbb80 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 sn).==.0.&&........Field_t3_Slot
bbba0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
bbbc0 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_tlo_Slot_inst_get.(insn).=
bbbe0 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 4c =.0)......return.OPCODE_MUL_DD_L
bbc00 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 L;....if.(Field_op1_Slot_inst_ge
bbc20 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f t.(insn).==.5.&&........Field_s_
bbc40 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
bbc60 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ....Field_w_Slot_inst_get.(insn)
bbc80 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e .==.0.&&........Field_r3_Slot_in
bbca0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
bbcc0 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 ld_t3_Slot_inst_get.(insn).==.0.
bbce0 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 &&........Field_tlo_Slot_inst_ge
bbd00 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 t.(insn).==.0)......return.OPCOD
bbd20 45 5f 4d 55 4c 5f 44 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c E_MUL_DD_HL;....if.(Field_op1_Sl
bbd40 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.6.&&......
bbd60 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d ..Field_s_Slot_inst_get.(insn).=
bbd80 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.0.&&........Field_w_Slot_inst_
bbda0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f get.(insn).==.0.&&........Field_
bbdc0 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a r3_Slot_inst_get.(insn).==.0.&&.
bbde0 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 .......Field_t3_Slot_inst_get.(i
bbe00 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c nsn).==.0.&&........Field_tlo_Sl
bbe20 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 ot_inst_get.(insn).==.0)......re
bbe40 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 turn.OPCODE_MUL_DD_LH;....if.(Fi
bbe60 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op1_Slot_inst_get.(insn).==.
bbe80 37 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 7.&&........Field_s_Slot_inst_ge
bbea0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f t.(insn).==.0.&&........Field_w_
bbec0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
bbee0 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ....Field_r3_Slot_inst_get.(insn
bbf00 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 ).==.0.&&........Field_t3_Slot_i
bbf20 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
bbf40 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_tlo_Slot_inst_get.(insn).==.
bbf60 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 44 5f 48 48 3b 0)......return.OPCODE_MUL_DD_HH;
bbf80 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
bbfa0 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c (insn).==.8.&&........Field_s_Sl
bbfc0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.0.&&......
bbfe0 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d ..Field_w_Slot_inst_get.(insn).=
bc000 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 =.0.&&........Field_r3_Slot_inst
bc020 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.0.&&........Field
bc040 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 _t3_Slot_inst_get.(insn).==.0.&&
bc060 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ........Field_tlo_Slot_inst_get.
bc080 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f (insn).==.0)......return.OPCODE_
bc0a0 4d 55 4c 41 5f 44 44 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f MULA_DD_LL;....if.(Field_op1_Slo
bc0c0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.9.&&.......
bc0e0 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d .Field_s_Slot_inst_get.(insn).==
bc100 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .0.&&........Field_w_Slot_inst_g
bc120 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 et.(insn).==.0.&&........Field_r
bc140 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 3_Slot_inst_get.(insn).==.0.&&..
bc160 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_t3_Slot_inst_get.(in
bc180 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f sn).==.0.&&........Field_tlo_Slo
bc1a0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.0)......ret
bc1c0 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 urn.OPCODE_MULA_DD_HL;....if.(Fi
bc1e0 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_op1_Slot_inst_get.(insn).==.
bc200 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 10.&&........Field_s_Slot_inst_g
bc220 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 et.(insn).==.0.&&........Field_w
bc240 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.0.&&...
bc260 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .....Field_r3_Slot_inst_get.(ins
bc280 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f n).==.0.&&........Field_t3_Slot_
bc2a0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 inst_get.(insn).==.0.&&........F
bc2c0 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_tlo_Slot_inst_get.(insn).==
bc2e0 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 44 5f 4c .0)......return.OPCODE_MULA_DD_L
bc300 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 H;....if.(Field_op1_Slot_inst_ge
bc320 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 t.(insn).==.11.&&........Field_s
bc340 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.0.&&...
bc360 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_w_Slot_inst_get.(insn
bc380 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 ).==.0.&&........Field_r3_Slot_i
bc3a0 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
bc3c0 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 eld_t3_Slot_inst_get.(insn).==.0
bc3e0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .&&........Field_tlo_Slot_inst_g
bc400 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
bc420 44 45 5f 4d 55 4c 41 5f 44 44 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f DE_MULA_DD_HH;....if.(Field_op1_
bc440 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 20 Slot_inst_get.(insn).==.12.&&...
bc460 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_s_Slot_inst_get.(insn
bc480 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e ).==.0.&&........Field_w_Slot_in
bc4a0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
bc4c0 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 ld_r3_Slot_inst_get.(insn).==.0.
bc4e0 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 &&........Field_t3_Slot_inst_get
bc500 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f .(insn).==.0.&&........Field_tlo
bc520 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.0).....
bc540 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 4c 4c 3b 0a 09 20 20 69 66 .return.OPCODE_MULS_DD_LL;....if
bc560 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op1_Slot_inst_get.(insn)
bc580 20 3d 3d 20 31 33 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e .==.13.&&........Field_s_Slot_in
bc5a0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
bc5c0 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 ld_w_Slot_inst_get.(insn).==.0.&
bc5e0 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 &........Field_r3_Slot_inst_get.
bc600 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 (insn).==.0.&&........Field_t3_S
bc620 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 lot_inst_get.(insn).==.0.&&.....
bc640 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ...Field_tlo_Slot_inst_get.(insn
bc660 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f ).==.0)......return.OPCODE_MULS_
bc680 44 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 DD_HL;....if.(Field_op1_Slot_ins
bc6a0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 t_get.(insn).==.14.&&........Fie
bc6c0 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 ld_s_Slot_inst_get.(insn).==.0.&
bc6e0 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_w_Slot_inst_get.(
bc700 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c insn).==.0.&&........Field_r3_Sl
bc720 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.0.&&......
bc740 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 ..Field_t3_Slot_inst_get.(insn).
bc760 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e ==.0.&&........Field_tlo_Slot_in
bc780 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.0)......return.
bc7a0 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f OPCODE_MULS_DD_LH;....if.(Field_
bc7c0 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 20 26 op1_Slot_inst_get.(insn).==.15.&
bc7e0 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_s_Slot_inst_get.(
bc800 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f insn).==.0.&&........Field_w_Slo
bc820 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.0.&&.......
bc840 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d .Field_r3_Slot_inst_get.(insn).=
bc860 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 =.0.&&........Field_t3_Slot_inst
bc880 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.0.&&........Field
bc8a0 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a _tlo_Slot_inst_get.(insn).==.0).
bc8c0 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 44 5f 48 48 3b 0a 09 .....return.OPCODE_MULS_DD_HH;..
bc8e0 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f }.......if.(Field_op2_Slot_inst_
bc900 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 get.(insn).==.3)..{....if.(Field
bc920 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 20 26 _op1_Slot_inst_get.(insn).==.4.&
bc940 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_r_Slot_inst_get.(
bc960 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c insn).==.0.&&........Field_t3_Sl
bc980 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.0.&&......
bc9a0 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ..Field_tlo_Slot_inst_get.(insn)
bc9c0 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 .==.0)......return.OPCODE_MUL_AD
bc9e0 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f _LL;....if.(Field_op1_Slot_inst_
bca00 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f get.(insn).==.5.&&........Field_
bca20 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 r_Slot_inst_get.(insn).==.0.&&..
bca40 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ......Field_t3_Slot_inst_get.(in
bca60 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f sn).==.0.&&........Field_tlo_Slo
bca80 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.0)......ret
bcaa0 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 urn.OPCODE_MUL_AD_HL;....if.(Fie
bcac0 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 ld_op1_Slot_inst_get.(insn).==.6
bcae0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .&&........Field_r_Slot_inst_get
bcb00 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f .(insn).==.0.&&........Field_t3_
bcb20 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
bcb40 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ....Field_tlo_Slot_inst_get.(ins
bcb60 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f n).==.0)......return.OPCODE_MUL_
bcb80 41 44 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 AD_LH;....if.(Field_op1_Slot_ins
bcba0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c t_get.(insn).==.7.&&........Fiel
bcbc0 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 d_r_Slot_inst_get.(insn).==.0.&&
bcbe0 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ........Field_t3_Slot_inst_get.(
bcc00 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 insn).==.0.&&........Field_tlo_S
bcc20 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 lot_inst_get.(insn).==.0)......r
bcc40 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 44 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 eturn.OPCODE_MUL_AD_HH;....if.(F
bcc60 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_op1_Slot_inst_get.(insn).==
bcc80 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .8.&&........Field_r_Slot_inst_g
bcca0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 et.(insn).==.0.&&........Field_t
bccc0 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 3_Slot_inst_get.(insn).==.0.&&..
bcce0 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ......Field_tlo_Slot_inst_get.(i
bcd00 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
bcd20 4c 41 5f 41 44 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f LA_AD_LL;....if.(Field_op1_Slot_
bcd40 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 inst_get.(insn).==.9.&&........F
bcd60 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 ield_r_Slot_inst_get.(insn).==.0
bcd80 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .&&........Field_t3_Slot_inst_ge
bcda0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c t.(insn).==.0.&&........Field_tl
bcdc0 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 o_Slot_inst_get.(insn).==.0)....
bcde0 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 48 4c 3b 0a 09 20 20 69 ..return.OPCODE_MULA_AD_HL;....i
bce00 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e f.(Field_op1_Slot_inst_get.(insn
bce20 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 ).==.10.&&........Field_r_Slot_i
bce40 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
bce60 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 eld_t3_Slot_inst_get.(insn).==.0
bce80 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .&&........Field_tlo_Slot_inst_g
bcea0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
bcec0 44 45 5f 4d 55 4c 41 5f 41 44 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f DE_MULA_AD_LH;....if.(Field_op1_
bcee0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 Slot_inst_get.(insn).==.11.&&...
bcf00 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_r_Slot_inst_get.(insn
bcf20 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 ).==.0.&&........Field_t3_Slot_i
bcf40 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
bcf60 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 eld_tlo_Slot_inst_get.(insn).==.
bcf80 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 44 5f 48 48 0)......return.OPCODE_MULA_AD_HH
bcfa0 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ;....if.(Field_op1_Slot_inst_get
bcfc0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f .(insn).==.12.&&........Field_r_
bcfe0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
bd000 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ....Field_t3_Slot_inst_get.(insn
bd020 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f ).==.0.&&........Field_tlo_Slot_
bd040 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 inst_get.(insn).==.0)......retur
bd060 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 44 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c n.OPCODE_MULS_AD_LL;....if.(Fiel
bd080 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 d_op1_Slot_inst_get.(insn).==.13
bd0a0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .&&........Field_r_Slot_inst_get
bd0c0 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f .(insn).==.0.&&........Field_t3_
bd0e0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.0.&&....
bd100 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ....Field_tlo_Slot_inst_get.(ins
bd120 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 n).==.0)......return.OPCODE_MULS
bd140 5f 41 44 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e _AD_HL;....if.(Field_op1_Slot_in
bd160 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 st_get.(insn).==.14.&&........Fi
bd180 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 eld_r_Slot_inst_get.(insn).==.0.
bd1a0 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 &&........Field_t3_Slot_inst_get
bd1c0 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f .(insn).==.0.&&........Field_tlo
bd1e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.0).....
bd200 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 44 5f 4c 48 3b 0a 09 20 20 69 66 .return.OPCODE_MULS_AD_LH;....if
bd220 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 .(Field_op1_Slot_inst_get.(insn)
bd240 20 3d 3d 20 31 35 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e .==.15.&&........Field_r_Slot_in
bd260 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
bd280 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 ld_t3_Slot_inst_get.(insn).==.0.
bd2a0 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 &&........Field_tlo_Slot_inst_ge
bd2c0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 t.(insn).==.0)......return.OPCOD
bd2e0 45 5f 4d 55 4c 53 5f 41 44 5f 48 48 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 E_MULS_AD_HH;..}.......if.(Field
bd300 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 29 0a _op2_Slot_inst_get.(insn).==.4).
bd320 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .{....if.(Field_op1_Slot_inst_ge
bd340 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 t.(insn).==.8.&&........Field_r3
bd360 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.0).....
bd380 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 4c 5f 4c 44 49 4e 43 3b .return.OPCODE_MULA_DA_LL_LDINC;
bd3a0 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
bd3c0 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 (insn).==.9.&&........Field_r3_S
bd3e0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 lot_inst_get.(insn).==.0)......r
bd400 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 4c 5f 4c 44 49 4e 43 3b 0a 09 eturn.OPCODE_MULA_DA_HL_LDINC;..
bd420 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op1_Slot_inst_get.(i
bd440 6e 73 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c nsn).==.10.&&........Field_r3_Sl
bd460 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 ot_inst_get.(insn).==.0)......re
bd480 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 4c 48 5f 4c 44 49 4e 43 3b 0a 09 20 turn.OPCODE_MULA_DA_LH_LDINC;...
bd4a0 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op1_Slot_inst_get.(in
bd4c0 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f sn).==.11.&&........Field_r3_Slo
bd4e0 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.0)......ret
bd500 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 48 5f 4c 44 49 4e 43 3b 0a 09 7d 0a urn.OPCODE_MULA_DA_HH_LDINC;..}.
bd520 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 ......if.(Field_op2_Slot_inst_ge
bd540 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f t.(insn).==.5)..{....if.(Field_o
bd560 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a p1_Slot_inst_get.(insn).==.8.&&.
bd580 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 .......Field_r3_Slot_inst_get.(i
bd5a0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
bd5c0 4c 41 5f 44 41 5f 4c 4c 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 LA_DA_LL_LDDEC;....if.(Field_op1
bd5e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.9.&&...
bd600 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 .....Field_r3_Slot_inst_get.(ins
bd620 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 n).==.0)......return.OPCODE_MULA
bd640 5f 44 41 5f 48 4c 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 _DA_HL_LDDEC;....if.(Field_op1_S
bd660 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 lot_inst_get.(insn).==.10.&&....
bd680 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e ....Field_r3_Slot_inst_get.(insn
bd6a0 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f ).==.0)......return.OPCODE_MULA_
bd6c0 44 41 5f 4c 48 5f 4c 44 44 45 43 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c DA_LH_LDDEC;....if.(Field_op1_Sl
bd6e0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 20 ot_inst_get.(insn).==.11.&&.....
bd700 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ...Field_r3_Slot_inst_get.(insn)
bd720 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 .==.0)......return.OPCODE_MULA_D
bd740 41 5f 48 48 5f 4c 44 44 45 43 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f A_HH_LDDEC;..}.......if.(Field_o
bd760 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 09 7b p2_Slot_inst_get.(insn).==.6)..{
bd780 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
bd7a0 28 69 6e 73 6e 29 20 3d 3d 20 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c (insn).==.4.&&........Field_s_Sl
bd7c0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.0.&&......
bd7e0 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d ..Field_w_Slot_inst_get.(insn).=
bd800 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 =.0.&&........Field_r3_Slot_inst
bd820 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.0)......return.OP
bd840 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 CODE_MUL_DA_LL;....if.(Field_op1
bd860 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 20 26 26 0a 09 20 _Slot_inst_get.(insn).==.5.&&...
bd880 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e .....Field_s_Slot_inst_get.(insn
bd8a0 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e ).==.0.&&........Field_w_Slot_in
bd8c0 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 st_get.(insn).==.0.&&........Fie
bd8e0 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 ld_r3_Slot_inst_get.(insn).==.0)
bd900 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 48 4c 3b 0a 09 ......return.OPCODE_MUL_DA_HL;..
bd920 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op1_Slot_inst_get.(i
bd940 6e 73 6e 29 20 3d 3d 20 36 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 nsn).==.6.&&........Field_s_Slot
bd960 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
bd980 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_w_Slot_inst_get.(insn).==.
bd9a0 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 0.&&........Field_r3_Slot_inst_g
bd9c0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
bd9e0 44 45 5f 4d 55 4c 5f 44 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 DE_MUL_DA_LH;....if.(Field_op1_S
bda00 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 20 26 26 0a 09 20 20 20 lot_inst_get.(insn).==.7.&&.....
bda20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 ...Field_s_Slot_inst_get.(insn).
bda40 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 ==.0.&&........Field_w_Slot_inst
bda60 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.0.&&........Field
bda80 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 _r3_Slot_inst_get.(insn).==.0)..
bdaa0 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 44 41 5f 48 48 3b 0a 09 20 20 ....return.OPCODE_MUL_DA_HH;....
bdac0 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op1_Slot_inst_get.(ins
bdae0 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 n).==.8.&&........Field_s_Slot_i
bdb00 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
bdb20 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 eld_w_Slot_inst_get.(insn).==.0.
bdb40 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 &&........Field_r3_Slot_inst_get
bdb60 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 .(insn).==.0)......return.OPCODE
bdb80 5f 4d 55 4c 41 5f 44 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c _MULA_DA_LL;....if.(Field_op1_Sl
bdba0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 ot_inst_get.(insn).==.9.&&......
bdbc0 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d ..Field_s_Slot_inst_get.(insn).=
bdbe0 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f =.0.&&........Field_w_Slot_inst_
bdc00 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f get.(insn).==.0.&&........Field_
bdc20 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 r3_Slot_inst_get.(insn).==.0)...
bdc40 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 4c 3b 0a 09 20 20 ...return.OPCODE_MULA_DA_HL;....
bdc60 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op1_Slot_inst_get.(ins
bdc80 6e 29 20 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f n).==.10.&&........Field_s_Slot_
bdca0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 inst_get.(insn).==.0.&&........F
bdcc0 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 ield_w_Slot_inst_get.(insn).==.0
bdce0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 .&&........Field_r3_Slot_inst_ge
bdd00 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 t.(insn).==.0)......return.OPCOD
bdd20 45 5f 4d 55 4c 41 5f 44 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 E_MULA_DA_LH;....if.(Field_op1_S
bdd40 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 0a 09 20 20 lot_inst_get.(insn).==.11.&&....
bdd60 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ....Field_s_Slot_inst_get.(insn)
bdd80 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 .==.0.&&........Field_w_Slot_ins
bdda0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c t_get.(insn).==.0.&&........Fiel
bddc0 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a d_r3_Slot_inst_get.(insn).==.0).
bdde0 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 44 41 5f 48 48 3b 0a 09 .....return.OPCODE_MULA_DA_HH;..
bde00 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op1_Slot_inst_get.(i
bde20 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f nsn).==.12.&&........Field_s_Slo
bde40 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.0.&&.......
bde60 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d .Field_w_Slot_inst_get.(insn).==
bde80 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f .0.&&........Field_r3_Slot_inst_
bdea0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 get.(insn).==.0)......return.OPC
bdec0 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 ODE_MULS_DA_LL;....if.(Field_op1
bdee0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 20 26 26 0a 09 _Slot_inst_get.(insn).==.13.&&..
bdf00 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ......Field_s_Slot_inst_get.(ins
bdf20 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 n).==.0.&&........Field_w_Slot_i
bdf40 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 nst_get.(insn).==.0.&&........Fi
bdf60 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 eld_r3_Slot_inst_get.(insn).==.0
bdf80 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 48 4c 3b )......return.OPCODE_MULS_DA_HL;
bdfa0 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ....if.(Field_op1_Slot_inst_get.
bdfc0 28 69 6e 73 6e 29 20 3d 3d 20 31 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 (insn).==.14.&&........Field_s_S
bdfe0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 lot_inst_get.(insn).==.0.&&.....
be000 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 ...Field_w_Slot_inst_get.(insn).
be020 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 ==.0.&&........Field_r3_Slot_ins
be040 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.0)......return.O
be060 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f PCODE_MULS_DA_LH;....if.(Field_o
be080 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 20 26 26 p1_Slot_inst_get.(insn).==.15.&&
be0a0 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ........Field_s_Slot_inst_get.(i
be0c0 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 nsn).==.0.&&........Field_w_Slot
be0e0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
be100 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d Field_r3_Slot_inst_get.(insn).==
be120 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 44 41 5f 48 .0)......return.OPCODE_MULS_DA_H
be140 48 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 H;..}.......if.(Field_op2_Slot_i
be160 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 nst_get.(insn).==.7)..{....if.(F
be180 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d ield_op1_Slot_inst_get.(insn).==
be1a0 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 .0.&&........Field_r_Slot_inst_g
be1c0 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.0)......return.OPCO
be1e0 44 45 5f 55 4d 55 4c 5f 41 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f DE_UMUL_AA_LL;....if.(Field_op1_
be200 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 20 26 26 0a 09 20 20 Slot_inst_get.(insn).==.1.&&....
be220 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 ....Field_r_Slot_inst_get.(insn)
be240 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 .==.0)......return.OPCODE_UMUL_A
be260 41 5f 48 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 A_HL;....if.(Field_op1_Slot_inst
be280 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.2.&&........Field
be2a0 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 _r_Slot_inst_get.(insn).==.0)...
be2c0 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 41 5f 4c 48 3b 0a 09 20 20 ...return.OPCODE_UMUL_AA_LH;....
be2e0 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 if.(Field_op1_Slot_inst_get.(ins
be300 6e 29 20 3d 3d 20 33 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 n).==.3.&&........Field_r_Slot_i
be320 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e nst_get.(insn).==.0)......return
be340 20 4f 50 43 4f 44 45 5f 55 4d 55 4c 5f 41 41 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 .OPCODE_UMUL_AA_HH;....if.(Field
be360 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 34 20 26 _op1_Slot_inst_get.(insn).==.4.&
be380 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_r_Slot_inst_get.(
be3a0 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d insn).==.0)......return.OPCODE_M
be3c0 55 4c 5f 41 41 5f 4c 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f UL_AA_LL;....if.(Field_op1_Slot_
be3e0 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 35 20 26 26 0a 09 20 20 20 20 20 20 46 inst_get.(insn).==.5.&&........F
be400 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 ield_r_Slot_inst_get.(insn).==.0
be420 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 48 4c 3b 0a )......return.OPCODE_MUL_AA_HL;.
be440 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op1_Slot_inst_get.(
be460 69 6e 73 6e 29 20 3d 3d 20 36 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f insn).==.6.&&........Field_r_Slo
be480 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.0)......ret
be4a0 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 5f 41 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 urn.OPCODE_MUL_AA_LH;....if.(Fie
be4c0 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 ld_op1_Slot_inst_get.(insn).==.7
be4e0 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 .&&........Field_r_Slot_inst_get
be500 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 .(insn).==.0)......return.OPCODE
be520 5f 4d 55 4c 5f 41 41 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f _MUL_AA_HH;....if.(Field_op1_Slo
be540 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 20 26 26 0a 09 20 20 20 20 20 t_inst_get.(insn).==.8.&&.......
be560 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d .Field_r_Slot_inst_get.(insn).==
be580 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 4c .0)......return.OPCODE_MULA_AA_L
be5a0 4c 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 L;....if.(Field_op1_Slot_inst_ge
be5c0 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f t.(insn).==.9.&&........Field_r_
be5e0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.0)......
be600 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 48 4c 3b 0a 09 20 20 69 66 20 return.OPCODE_MULA_AA_HL;....if.
be620 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op1_Slot_inst_get.(insn).
be640 3d 3d 20 31 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 ==.10.&&........Field_r_Slot_ins
be660 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.0)......return.O
be680 50 43 4f 44 45 5f 4d 55 4c 41 5f 41 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f PCODE_MULA_AA_LH;....if.(Field_o
be6a0 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 20 26 26 p1_Slot_inst_get.(insn).==.11.&&
be6c0 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ........Field_r_Slot_inst_get.(i
be6e0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
be700 4c 41 5f 41 41 5f 48 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f LA_AA_HH;....if.(Field_op1_Slot_
be720 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 20 26 26 0a 09 20 20 20 20 20 20 inst_get.(insn).==.12.&&........
be740 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
be760 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 4c 4c 0)......return.OPCODE_MULS_AA_LL
be780 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 ;....if.(Field_op1_Slot_inst_get
be7a0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f .(insn).==.13.&&........Field_r_
be7c0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 Slot_inst_get.(insn).==.0)......
be7e0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 48 4c 3b 0a 09 20 20 69 66 20 return.OPCODE_MULS_AA_HL;....if.
be800 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 (Field_op1_Slot_inst_get.(insn).
be820 3d 3d 20 31 34 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 ==.14.&&........Field_r_Slot_ins
be840 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.0)......return.O
be860 50 43 4f 44 45 5f 4d 55 4c 53 5f 41 41 5f 4c 48 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6f PCODE_MULS_AA_LH;....if.(Field_o
be880 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 35 20 26 26 p1_Slot_inst_get.(insn).==.15.&&
be8a0 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ........Field_r_Slot_inst_get.(i
be8c0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 55 nsn).==.0)......return.OPCODE_MU
be8e0 4c 53 5f 41 41 5f 48 48 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 LS_AA_HH;..}.......if.(Field_op2
be900 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 7b 0a 09 _Slot_inst_get.(insn).==.8)..{..
be920 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ..if.(Field_op1_Slot_inst_get.(i
be940 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 nsn).==.0.&&........Field_t_Slot
be960 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 _inst_get.(insn).==.0.&&........
be980 46 69 65 6c 64 5f 72 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d Field_rhi_Slot_inst_get.(insn).=
be9a0 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 44 49 4e 43 3b 0a 09 =.0)......return.OPCODE_LDINC;..
be9c0 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f }.......if.(Field_op2_Slot_inst_
be9e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 get.(insn).==.9)..{....if.(Field
bea00 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 20 26 _op1_Slot_inst_get.(insn).==.0.&
bea20 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 &........Field_t_Slot_inst_get.(
bea40 69 6e 73 6e 29 20 3d 3d 20 30 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 72 68 69 5f 53 insn).==.0.&&........Field_rhi_S
bea60 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 lot_inst_get.(insn).==.0)......r
bea80 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 44 44 45 43 3b 0a 09 7d 0a 20 20 20 20 7d 0a 20 20 69 eturn.OPCODE_LDDEC;..}.....}...i
beaa0 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e f.(Field_op0_Slot_inst_get.(insn
beac0 29 20 3d 3d 20 35 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e 5f ).==.5).....{.......if.(Field_n_
beae0 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 72 65 74 75 Slot_inst_get.(insn).==.0)..retu
beb00 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 30 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 rn.OPCODE_CALL0;.......if.(Field
beb20 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 72 _n_Slot_inst_get.(insn).==.1)..r
beb40 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 34 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 eturn.OPCODE_CALL4;.......if.(Fi
beb60 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 eld_n_Slot_inst_get.(insn).==.2)
beb80 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 38 3b 0a 20 20 20 20 20 20 69 66 20 ..return.OPCODE_CALL8;.......if.
beba0 28 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_n_Slot_inst_get.(insn).==
bebc0 20 33 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 43 41 4c 4c 31 32 3b 0a 20 20 20 20 7d .3)..return.OPCODE_CALL12;.....}
bebe0 0a 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 ...if.(Field_op0_Slot_inst_get.(
bec00 69 6e 73 6e 29 20 3d 3d 20 36 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c insn).==.6).....{.......if.(Fiel
bec20 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 d_n_Slot_inst_get.(insn).==.0)..
bec40 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4a 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 return.OPCODE_J;.......if.(Field
bec60 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 7b _n_Slot_inst_get.(insn).==.1)..{
bec80 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 ....if.(Field_m_Slot_inst_get.(i
beca0 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 45 nsn).==.0)......return.OPCODE_BE
becc0 51 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 QZ;....if.(Field_m_Slot_inst_get
bece0 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 .(insn).==.1)......return.OPCODE
bed00 5f 42 4e 45 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f _BNEZ;....if.(Field_m_Slot_inst_
bed20 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 get.(insn).==.2)......return.OPC
bed40 4f 44 45 5f 42 4c 54 5a 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e ODE_BLTZ;....if.(Field_m_Slot_in
bed60 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 st_get.(insn).==.3)......return.
bed80 4f 50 43 4f 44 45 5f 42 47 45 5a 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f OPCODE_BGEZ;..}.......if.(Field_
beda0 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 7b 0a n_Slot_inst_get.(insn).==.2)..{.
bedc0 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e ...if.(Field_m_Slot_inst_get.(in
bede0 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 45 51 sn).==.0)......return.OPCODE_BEQ
bee00 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 I;....if.(Field_m_Slot_inst_get.
bee20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f (insn).==.1)......return.OPCODE_
bee40 42 4e 45 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 BNEI;....if.(Field_m_Slot_inst_g
bee60 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f et.(insn).==.2)......return.OPCO
bee80 44 45 5f 42 4c 54 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 DE_BLTI;....if.(Field_m_Slot_ins
beea0 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f t_get.(insn).==.3)......return.O
beec0 50 43 4f 44 45 5f 42 47 45 49 3b 0a 09 7d 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 6e PCODE_BGEI;..}.......if.(Field_n
beee0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 7b 0a 09 _Slot_inst_get.(insn).==.3)..{..
bef00 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 ..if.(Field_m_Slot_inst_get.(ins
bef20 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 45 4e 54 52 n).==.0)......return.OPCODE_ENTR
bef40 59 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 Y;....if.(Field_m_Slot_inst_get.
bef60 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 7b 0a 09 20 20 20 20 20 20 69 66 20 28 46 (insn).==.1)......{........if.(F
bef80 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 ield_r_Slot_inst_get.(insn).==.8
befa0 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 3b 0a 09 20 20 20 20 20 20 69 )...return.OPCODE_LOOP;........i
befc0 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_r_Slot_inst_get.(insn).
befe0 3d 3d 20 39 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 4f 4f 50 4e 45 5a 3b 0a 09 ==.9)...return.OPCODE_LOOPNEZ;..
bf000 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 ......if.(Field_r_Slot_inst_get.
bf020 28 69 6e 73 6e 29 20 3d 3d 20 31 30 29 0a 09 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4c 4f (insn).==.10)...return.OPCODE_LO
bf040 4f 50 47 54 5a 3b 0a 09 20 20 20 20 7d 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d 5f 53 6c 6f OPGTZ;......}....if.(Field_m_Slo
bf060 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 t_inst_get.(insn).==.2)......ret
bf080 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4c 54 55 49 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 6d urn.OPCODE_BLTUI;....if.(Field_m
bf0a0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 29 0a 09 20 20 20 _Slot_inst_get.(insn).==.3).....
bf0c0 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 47 45 55 49 3b 0a 09 7d 0a 20 20 20 20 7d 0a 20 .return.OPCODE_BGEUI;..}.....}..
bf0e0 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e .if.(Field_op0_Slot_inst_get.(in
bf100 73 6e 29 20 3d 3d 20 37 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f sn).==.7).....{.......if.(Field_
bf120 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 72 65 r_Slot_inst_get.(insn).==.0)..re
bf140 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4e 4f 4e 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 turn.OPCODE_BNONE;.......if.(Fie
bf160 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a ld_r_Slot_inst_get.(insn).==.1).
bf180 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 45 51 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 .return.OPCODE_BEQ;.......if.(Fi
bf1a0 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 32 29 eld_r_Slot_inst_get.(insn).==.2)
bf1c0 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4c 54 3b 0a 20 20 20 20 20 20 69 66 20 28 46 ..return.OPCODE_BLT;.......if.(F
bf1e0 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 ield_r_Slot_inst_get.(insn).==.3
bf200 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4c 54 55 3b 0a 20 20 20 20 20 20 69 66 20 )..return.OPCODE_BLTU;.......if.
bf220 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d (Field_r_Slot_inst_get.(insn).==
bf240 20 34 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 41 4c 4c 3b 0a 20 20 20 20 20 20 69 .4)..return.OPCODE_BALL;.......i
bf260 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 f.(Field_r_Slot_inst_get.(insn).
bf280 3d 3d 20 35 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 42 43 3b 0a 20 20 20 20 20 20 ==.5)..return.OPCODE_BBC;.......
bf2a0 69 66 20 28 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e if.((Field_r_Slot_inst_get.(insn
bf2c0 29 20 3d 3d 20 36 20 7c 7c 0a 09 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f ).==.6.||.....Field_r_Slot_inst_
bf2e0 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 37 29 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 get.(insn).==.7))..return.OPCODE
bf300 5f 42 42 43 49 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e _BBCI;.......if.(Field_r_Slot_in
bf320 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f st_get.(insn).==.8)..return.OPCO
bf340 44 45 5f 42 41 4e 59 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f DE_BANY;.......if.(Field_r_Slot_
bf360 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 09 72 65 74 75 72 6e 20 4f 50 inst_get.(insn).==.9)..return.OP
bf380 43 4f 44 45 5f 42 4e 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 CODE_BNE;.......if.(Field_r_Slot
bf3a0 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 30 29 0a 09 72 65 74 75 72 6e 20 _inst_get.(insn).==.10)..return.
bf3c0 4f 50 43 4f 44 45 5f 42 47 45 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c OPCODE_BGE;.......if.(Field_r_Sl
bf3e0 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 09 72 65 74 75 72 ot_inst_get.(insn).==.11)..retur
bf400 6e 20 4f 50 43 4f 44 45 5f 42 47 45 55 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 72 n.OPCODE_BGEU;.......if.(Field_r
bf420 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 32 29 0a 09 72 65 _Slot_inst_get.(insn).==.12)..re
bf440 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4e 41 4c 4c 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 turn.OPCODE_BNALL;.......if.(Fie
bf460 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 33 29 ld_r_Slot_inst_get.(insn).==.13)
bf480 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 42 53 3b 0a 20 20 20 20 20 20 69 66 20 28 28 ..return.OPCODE_BBS;.......if.((
bf4a0 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 Field_r_Slot_inst_get.(insn).==.
bf4c0 31 34 20 7c 7c 0a 09 20 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 20 14.||.....Field_r_Slot_inst_get.
bf4e0 28 69 6e 73 6e 29 20 3d 3d 20 31 35 29 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 42 (insn).==.15))..return.OPCODE_BB
bf500 53 49 3b 0a 20 20 20 20 7d 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 SI;.....}...return.0;.}..static.
bf520 69 6e 74 0a 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 64 65 63 6f 64 65 20 28 63 6f 6e 73 74 20 78 int.Slot_inst16b_decode.(const.x
bf540 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 69 66 20 28 46 69 65 6c tensa_insnbuf.insn).{...if.(Fiel
bf560 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d d_op0_Slot_inst16b_get.(insn).==
bf580 20 31 32 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c 64 5f 69 5f 53 6c 6f .12).....{.......if.(Field_i_Slo
bf5a0 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 72 65 74 75 t_inst16b_get.(insn).==.0)..retu
bf5c0 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 49 5f 4e 3b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 6c rn.OPCODE_MOVI_N;.......if.(Fiel
bf5e0 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 d_i_Slot_inst16b_get.(insn).==.1
bf600 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 )..{....if.(Field_z_Slot_inst16b
bf620 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 _get.(insn).==.0)......return.OP
bf640 43 4f 44 45 5f 42 45 51 5a 5f 4e 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 CODE_BEQZ_N;....if.(Field_z_Slot
bf660 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 0a 09 20 20 20 20 72 _inst16b_get.(insn).==.1)......r
bf680 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 4e 45 5a 5f 4e 3b 0a 09 7d 0a 20 20 20 20 7d 0a 20 20 eturn.OPCODE_BNEZ_N;..}.....}...
bf6a0 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 if.(Field_op0_Slot_inst16b_get.(
bf6c0 69 6e 73 6e 29 20 3d 3d 20 31 33 29 0a 20 20 20 20 7b 0a 20 20 20 20 20 20 69 66 20 28 46 69 65 insn).==.13).....{.......if.(Fie
bf6e0 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 ld_r_Slot_inst16b_get.(insn).==.
bf700 30 29 0a 09 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4d 4f 56 5f 4e 3b 0a 20 20 20 20 20 20 69 0)..return.OPCODE_MOV_N;.......i
bf720 66 20 28 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 f.(Field_r_Slot_inst16b_get.(ins
bf740 6e 29 20 3d 3d 20 31 35 29 0a 09 7b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 n).==.15)..{....if.(Field_t_Slot
bf760 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 _inst16b_get.(insn).==.0)......r
bf780 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 54 5f 4e 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 eturn.OPCODE_RET_N;....if.(Field
bf7a0 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 31 29 _t_Slot_inst16b_get.(insn).==.1)
bf7c0 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 52 45 54 57 5f 4e 3b 0a 09 20 20 69 ......return.OPCODE_RETW_N;....i
bf7e0 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 f.(Field_t_Slot_inst16b_get.(ins
bf800 6e 29 20 3d 3d 20 32 29 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 42 52 45 41 n).==.2)......return.OPCODE_BREA
bf820 4b 5f 4e 3b 0a 09 20 20 69 66 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 K_N;....if.(Field_t_Slot_inst16b
bf840 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 33 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 _get.(insn).==.3.&&........Field
bf860 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 _s_Slot_inst16b_get.(insn).==.0)
bf880 0a 09 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 4e 4f 50 5f 4e 3b 0a 09 20 20 69 66 ......return.OPCODE_NOP_N;....if
bf8a0 20 28 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e .(Field_t_Slot_inst16b_get.(insn
bf8c0 29 20 3d 3d 20 36 20 26 26 0a 09 20 20 20 20 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e ).==.6.&&........Field_s_Slot_in
bf8e0 73 74 31 36 62 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 30 29 0a 09 20 20 20 20 72 65 74 75 st16b_get.(insn).==.0)......retu
bf900 72 6e 20 4f 50 43 4f 44 45 5f 49 4c 4c 5f 4e 3b 0a 09 7d 0a 20 20 20 20 7d 0a 20 20 72 65 74 75 rn.OPCODE_ILL_N;..}.....}...retu
bf920 72 6e 20 30 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 53 6c 6f 74 5f 69 6e 73 74 31 36 61 rn.0;.}..static.int.Slot_inst16a
bf940 5f 64 65 63 6f 64 65 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e _decode.(const.xtensa_insnbuf.in
bf960 73 6e 29 0a 7b 0a 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 sn).{...if.(Field_op0_Slot_inst1
bf980 36 61 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 38 29 0a 20 20 20 20 72 65 74 75 72 6e 20 4f 6a_get.(insn).==.8).....return.O
bf9a0 50 43 4f 44 45 5f 4c 33 32 49 5f 4e 3b 0a 20 20 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c PCODE_L32I_N;...if.(Field_op0_Sl
bf9c0 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d 20 39 29 0a 20 20 20 20 ot_inst16a_get.(insn).==.9).....
bf9e0 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 53 33 32 49 5f 4e 3b 0a 20 20 69 66 20 28 46 69 65 6c return.OPCODE_S32I_N;...if.(Fiel
bfa00 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 69 6e 73 6e 29 20 3d 3d d_op0_Slot_inst16a_get.(insn).==
bfa20 20 31 30 29 0a 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 44 44 5f 4e 3b 0a 20 20 .10).....return.OPCODE_ADD_N;...
bfa40 69 66 20 28 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 20 28 if.(Field_op0_Slot_inst16a_get.(
bfa60 69 6e 73 6e 29 20 3d 3d 20 31 31 29 0a 20 20 20 20 72 65 74 75 72 6e 20 4f 50 43 4f 44 45 5f 41 insn).==.11).....return.OPCODE_A
bfa80 44 44 49 5f 4e 3b 0a 20 20 72 65 74 75 72 6e 20 30 3b 0a 7d 0a 0a 0c 0a 2f 2a 20 49 6e 73 74 72 DDI_N;...return.0;.}..../*.Instr
bfaa0 75 63 74 69 6f 6e 20 73 6c 6f 74 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 53 uction.slots...*/..static.void.S
bfac0 6c 6f 74 5f 78 32 34 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 5f 30 5f 67 65 74 20 28 63 6f 6e 73 74 lot_x24_Format_inst_0_get.(const
bfae0 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 20 20 20 20 78 74 65 .xtensa_insnbuf.insn,........xte
bfb00 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 nsa_insnbuf.slotbuf).{...slotbuf
bfb20 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 30 78 66 66 66 66 66 66 29 3b 0a 7d 0a 0a 73 [0].=.(insn[0].&.0xffffff);.}..s
bfb40 74 61 74 69 63 20 76 6f 69 64 0a 53 6c 6f 74 5f 78 32 34 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 5f tatic.void.Slot_x24_Format_inst_
bfb60 30 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 20 0_set.(xtensa_insnbuf.insn,.....
bfb80 20 20 20 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 ...const.xtensa_insnbuf.slotbuf)
bfba0 0a 7b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 .{...insn[0].=.(insn[0].&.~0xfff
bfbc0 66 66 66 29 20 7c 20 28 73 6c 6f 74 62 75 66 5b 30 5d 20 26 20 30 78 66 66 66 66 66 66 29 3b 0a fff).|.(slotbuf[0].&.0xffffff);.
bfbe0 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 53 6c 6f 74 5f 78 31 36 61 5f 46 6f 72 6d 61 74 5f }..static.void.Slot_x16a_Format_
bfc00 69 6e 73 74 31 36 61 5f 30 5f 67 65 74 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e inst16a_0_get.(const.xtensa_insn
bfc20 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 09 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f buf.insn,.....xtensa_insnbuf.slo
bfc40 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 tbuf).{...slotbuf[0].=.(insn[0].
bfc60 26 20 30 78 66 66 66 66 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 53 6c 6f 74 5f 78 &.0xffff);.}..static.void.Slot_x
bfc80 31 36 61 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 61 5f 30 5f 73 65 74 20 28 78 74 65 6e 73 61 16a_Format_inst16a_0_set.(xtensa
bfca0 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 09 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f _insnbuf.insn,.....const.xtensa_
bfcc0 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 insnbuf.slotbuf).{...insn[0].=.(
bfce0 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 29 20 7c 20 28 73 6c 6f 74 62 75 66 5b 30 5d insn[0].&.~0xffff).|.(slotbuf[0]
bfd00 20 26 20 30 78 66 66 66 66 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 53 6c 6f 74 5f .&.0xffff);.}..static.void.Slot_
bfd20 78 31 36 62 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 62 5f 30 5f 67 65 74 20 28 63 6f 6e 73 74 x16b_Format_inst16b_0_get.(const
bfd40 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 09 78 74 65 6e 73 61 .xtensa_insnbuf.insn,.....xtensa
bfd60 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 20 73 6c 6f 74 62 75 66 5b 30 5d _insnbuf.slotbuf).{...slotbuf[0]
bfd80 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 30 78 66 66 66 66 29 3b 0a 7d 0a 0a 73 74 61 74 69 63 .=.(insn[0].&.0xffff);.}..static
bfda0 20 76 6f 69 64 0a 53 6c 6f 74 5f 78 31 36 62 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 62 5f 30 .void.Slot_x16b_Format_inst16b_0
bfdc0 5f 73 65 74 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 2c 0a 09 09 09 09 63 _set.(xtensa_insnbuf.insn,.....c
bfde0 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 73 6c 6f 74 62 75 66 29 0a 7b 0a 20 onst.xtensa_insnbuf.slotbuf).{..
bfe00 20 69 6e 73 6e 5b 30 5d 20 3d 20 28 69 6e 73 6e 5b 30 5d 20 26 20 7e 30 78 66 66 66 66 29 20 7c .insn[0].=.(insn[0].&.~0xffff).|
bfe20 20 28 73 6c 6f 74 62 75 66 5b 30 5d 20 26 20 30 78 66 66 66 66 29 3b 0a 7d 0a 0a 73 74 61 74 69 .(slotbuf[0].&.0xffff);.}..stati
bfe40 63 20 78 74 65 6e 73 61 5f 67 65 74 5f 66 69 65 6c 64 5f 66 6e 0a 53 6c 6f 74 5f 69 6e 73 74 5f c.xtensa_get_field_fn.Slot_inst_
bfe60 67 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 65 6c 64 5f 74 5f 53 6c get_field_fns[].=.{...Field_t_Sl
bfe80 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 62 69 34 5f 53 6c 6f 74 5f 69 ot_inst_get,...Field_bbi4_Slot_i
bfea0 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 62 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 nst_get,...Field_bbi_Slot_inst_g
bfec0 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 31 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c et,...Field_imm12_Slot_inst_get,
bfee0 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 ...Field_imm8_Slot_inst_get,...F
bff00 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d ield_s_Slot_inst_get,...Field_im
bff20 6d 31 32 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 31 m12b_Slot_inst_get,...Field_imm1
bff40 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6d 5f 53 6c 6f 74 5f 6_Slot_inst_get,...Field_m_Slot_
bff60 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 inst_get,...Field_n_Slot_inst_ge
bff80 74 2c 0a 20 20 46 69 65 6c 64 5f 6f 66 66 73 65 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c t,...Field_offset_Slot_inst_get,
bffa0 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 ...Field_op0_Slot_inst_get,...Fi
bffc0 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6f eld_op1_Slot_inst_get,...Field_o
bffe0 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 p2_Slot_inst_get,...Field_r_Slot
c0000 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 34 5f 53 6c 6f 74 5f 69 6e 73 74 _inst_get,...Field_sa4_Slot_inst
c0020 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 65 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 _get,...Field_sae4_Slot_inst_get
c0040 2c 0a 20 20 46 69 65 6c 64 5f 73 61 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 ,...Field_sae_Slot_inst_get,...F
c0060 69 65 6c 64 5f 73 61 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f ield_sal_Slot_inst_get,...Field_
c0080 73 61 72 67 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 73 sargt_Slot_inst_get,...Field_sas
c00a0 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 73 5f 53 6c 6f 4_Slot_inst_get,...Field_sas_Slo
c00c0 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 t_inst_get,...Field_sr_Slot_inst
c00e0 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a _get,...Field_st_Slot_inst_get,.
c0100 20 20 46 69 65 6c 64 5f 74 68 69 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 ..Field_thi3_Slot_inst_get,...Fi
c0120 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f eld_imm4_Slot_inst_get,...Field_
c0140 6d 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c mn_Slot_inst_get,...0,...0,...0,
c0160 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c ...0,...0,...0,...0,...0,...Fiel
c0180 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 72 62 69 74 d_r3_Slot_inst_get,...Field_rbit
c01a0 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 72 68 69 5f 53 6c 6f 2_Slot_inst_get,...Field_rhi_Slo
c01c0 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c 6f 74 5f 69 6e 73 74 t_inst_get,...Field_t3_Slot_inst
c01e0 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 62 69 74 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 _get,...Field_tbit2_Slot_inst_ge
c0200 74 2c 0a 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 t,...Field_tlo_Slot_inst_get,...
c0220 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 79 Field_w_Slot_inst_get,...Field_y
c0240 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 5f 53 6c 6f 74 5f 69 _Slot_inst_get,...Field_x_Slot_i
c0260 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 35 5f 69 6d 6d 5f 53 6c nst_get,...Field_xt_wbr15_imm_Sl
c0280 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 38 5f 69 6d ot_inst_get,...Field_xt_wbr18_im
c02a0 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 m_Slot_inst_get,...Field_bitinde
c02c0 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 x_Slot_inst_get,...Field_s3to1_S
c02e0 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 lot_inst_get,...Implicit_Field_a
c0300 72 30 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 34 5f 67 65 74 r0_get,...Implicit_Field_ar4_get
c0320 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 38 5f 67 65 74 2c 0a 20 20 49 6d ,...Implicit_Field_ar8_get,...Im
c0340 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 31 32 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 plicit_Field_ar12_get,...Implici
c0360 74 5f 46 69 65 6c 64 5f 6d 72 30 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c t_Field_mr0_get,...Implicit_Fiel
c0380 64 5f 6d 72 31 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 32 5f d_mr1_get,...Implicit_Field_mr2_
c03a0 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 33 5f 67 65 74 0a 7d 3b get,...Implicit_Field_mr3_get.};
c03c0 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 0a 53 6c 6f ..static.xtensa_set_field_fn.Slo
c03e0 74 5f 69 6e 73 74 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 65 t_inst_set_field_fns[].=.{...Fie
c0400 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 62 69 34 ld_t_Slot_inst_set,...Field_bbi4
c0420 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 62 62 69 5f 53 6c 6f 74 _Slot_inst_set,...Field_bbi_Slot
c0440 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 31 32 5f 53 6c 6f 74 5f 69 6e _inst_set,...Field_imm12_Slot_in
c0460 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 38 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 st_set,...Field_imm8_Slot_inst_s
c0480 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 et,...Field_s_Slot_inst_set,...F
c04a0 69 65 6c 64 5f 69 6d 6d 31 32 62 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 ield_imm12b_Slot_inst_set,...Fie
c04c0 6c 64 5f 69 6d 6d 31 36 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f ld_imm16_Slot_inst_set,...Field_
c04e0 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6e 5f 53 6c 6f 74 5f m_Slot_inst_set,...Field_n_Slot_
c0500 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6f 66 66 73 65 74 5f 53 6c 6f 74 5f 69 6e inst_set,...Field_offset_Slot_in
c0520 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 st_set,...Field_op0_Slot_inst_se
c0540 74 2c 0a 20 20 46 69 65 6c 64 5f 6f 70 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 t,...Field_op1_Slot_inst_set,...
c0560 46 69 65 6c 64 5f 6f 70 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 Field_op2_Slot_inst_set,...Field
c0580 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 34 5f 53 6c _r_Slot_inst_set,...Field_sa4_Sl
c05a0 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 65 34 5f 53 6c 6f 74 5f 69 ot_inst_set,...Field_sae4_Slot_i
c05c0 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 65 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 nst_set,...Field_sae_Slot_inst_s
c05e0 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 61 6c 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 et,...Field_sal_Slot_inst_set,..
c0600 20 46 69 65 6c 64 5f 73 61 72 67 74 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 .Field_sargt_Slot_inst_set,...Fi
c0620 65 6c 64 5f 73 61 73 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f eld_sas4_Slot_inst_set,...Field_
c0640 73 61 73 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c sas_Slot_inst_set,...Field_sr_Sl
c0660 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 ot_inst_set,...Field_st_Slot_ins
c0680 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 68 69 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 t_set,...Field_thi3_Slot_inst_se
c06a0 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 t,...Field_imm4_Slot_inst_set,..
c06c0 20 46 69 65 6c 64 5f 6d 6e 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 .Field_mn_Slot_inst_set,...0,...
c06e0 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0,...0,...0,...0,...0,...0,...0,
c0700 0a 20 20 46 69 65 6c 64 5f 72 33 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 ...Field_r3_Slot_inst_set,...Fie
c0720 6c 64 5f 72 62 69 74 32 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f ld_rbit2_Slot_inst_set,...Field_
c0740 72 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 33 5f 53 6c rhi_Slot_inst_set,...Field_t3_Sl
c0760 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 62 69 74 32 5f 53 6c 6f 74 5f ot_inst_set,...Field_tbit2_Slot_
c0780 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 74 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 5f inst_set,...Field_tlo_Slot_inst_
c07a0 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 77 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 set,...Field_w_Slot_inst_set,...
c07c0 46 69 65 6c 64 5f 79 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 Field_y_Slot_inst_set,...Field_x
c07e0 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 74 5f 77 62 72 31 35 _Slot_inst_set,...Field_xt_wbr15
c0800 5f 69 6d 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 78 74 5f 77 _imm_Slot_inst_set,...Field_xt_w
c0820 62 72 31 38 5f 69 6d 6d 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f br18_imm_Slot_inst_set,...Field_
c0840 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f bitindex_Slot_inst_set,...Field_
c0860 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f s3to1_Slot_inst_set,...Implicit_
c0880 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c Field_set,...Implicit_Field_set,
c08a0 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 ...Implicit_Field_set,...Implici
c08c0 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 t_Field_set,...Implicit_Field_se
c08e0 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 t,...Implicit_Field_set,...Impli
c0900 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f cit_Field_set,...Implicit_Field_
c0920 73 65 74 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 67 65 74 5f 66 69 65 6c 64 5f set.};..static.xtensa_get_field_
c0940 66 6e 0a 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 fn.Slot_inst16a_get_field_fns[].
c0960 3d 20 7b 0a 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a =.{...Field_t_Slot_inst16a_get,.
c0980 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 5f 53 6c ..0,...0,...0,...0,...Field_s_Sl
c09a0 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 ot_inst16a_get,...0,...0,...0,..
c09c0 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 .0,...0,...Field_op0_Slot_inst16
c09e0 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f a_get,...0,...0,...Field_r_Slot_
c0a00 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c inst16a_get,...0,...0,...0,...0,
c0a20 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f ...0,...0,...0,...Field_sr_Slot_
c0a40 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 inst16a_get,...Field_st_Slot_ins
c0a60 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 t16a_get,...0,...Field_imm4_Slot
c0a80 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 5f 53 6c 6f _inst16a_get,...0,...Field_i_Slo
c0aa0 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c t_inst16a_get,...Field_imm6lo_Sl
c0ac0 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 ot_inst16a_get,...Field_imm6hi_S
c0ae0 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f lot_inst16a_get,...Field_imm7lo_
c0b00 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 68 69 Slot_inst16a_get,...Field_imm7hi
c0b20 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 7a 5f 53 6c 6f _Slot_inst16a_get,...Field_z_Slo
c0b40 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 t_inst16a_get,...Field_imm6_Slot
c0b60 5f 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f _inst16a_get,...Field_imm7_Slot_
c0b80 69 6e 73 74 31 36 61 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c inst16a_get,...0,...0,...0,...0,
c0ba0 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 ...0,...0,...0,...0,...0,...0,..
c0bc0 20 30 2c 0a 20 20 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 .0,...Field_bitindex_Slot_inst16
c0be0 61 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 a_get,...Field_s3to1_Slot_inst16
c0c00 61 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 30 5f 67 65 74 2c a_get,...Implicit_Field_ar0_get,
c0c20 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 34 5f 67 65 74 2c 0a 20 20 49 6d 70 ...Implicit_Field_ar4_get,...Imp
c0c40 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 38 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f licit_Field_ar8_get,...Implicit_
c0c60 46 69 65 6c 64 5f 61 72 31 32 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 Field_ar12_get,...Implicit_Field
c0c80 5f 6d 72 30 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 31 5f 67 _mr0_get,...Implicit_Field_mr1_g
c0ca0 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 32 5f 67 65 74 2c 0a 20 20 et,...Implicit_Field_mr2_get,...
c0cc0 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 33 5f 67 65 74 0a 7d 3b 0a 0a 73 74 61 74 69 Implicit_Field_mr3_get.};..stati
c0ce0 63 20 78 74 65 6e 73 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 0a 53 6c 6f 74 5f 69 6e 73 74 31 c.xtensa_set_field_fn.Slot_inst1
c0d00 36 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 65 6c 64 5f 74 6a_set_field_fns[].=.{...Field_t
c0d20 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 _Slot_inst16a_set,...0,...0,...0
c0d40 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 ,...0,...Field_s_Slot_inst16a_se
c0d60 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 t,...0,...0,...0,...0,...0,...Fi
c0d80 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 eld_op0_Slot_inst16a_set,...0,..
c0da0 20 30 2c 0a 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a .0,...Field_r_Slot_inst16a_set,.
c0dc0 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 ..0,...0,...0,...0,...0,...0,...
c0de0 30 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 0,...Field_sr_Slot_inst16a_set,.
c0e00 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 30 ..Field_st_Slot_inst16a_set,...0
c0e20 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c ,...Field_imm4_Slot_inst16a_set,
c0e40 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 ...0,...Field_i_Slot_inst16a_set
c0e60 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 ,...Field_imm6lo_Slot_inst16a_se
c0e80 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 t,...Field_imm6hi_Slot_inst16a_s
c0ea0 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f et,...Field_imm7lo_Slot_inst16a_
c0ec0 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 set,...Field_imm7hi_Slot_inst16a
c0ee0 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 _set,...Field_z_Slot_inst16a_set
c0f00 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c ,...Field_imm6_Slot_inst16a_set,
c0f20 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a ...Field_imm7_Slot_inst16a_set,.
c0f40 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 ..0,...0,...0,...0,...0,...0,...
c0f60 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 62 0,...0,...0,...0,...0,...Field_b
c0f80 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 46 69 65 6c itindex_Slot_inst16a_set,...Fiel
c0fa0 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 2c 0a 20 20 49 6d 70 6c d_s3to1_Slot_inst16a_set,...Impl
c0fc0 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 icit_Field_set,...Implicit_Field
c0fe0 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d _set,...Implicit_Field_set,...Im
c1000 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 plicit_Field_set,...Implicit_Fie
c1020 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 ld_set,...Implicit_Field_set,...
c1040 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 Implicit_Field_set,...Implicit_F
c1060 69 65 6c 64 5f 73 65 74 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 67 65 74 5f 66 ield_set.};..static.xtensa_get_f
c1080 69 65 6c 64 5f 66 6e 0a 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 5f 66 69 65 6c 64 5f 66 ield_fn.Slot_inst16b_get_field_f
c10a0 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f ns[].=.{...Field_t_Slot_inst16b_
c10c0 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 get,...0,...0,...0,...0,...Field
c10e0 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 _s_Slot_inst16b_get,...0,...0,..
c1100 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 .0,...0,...0,...Field_op0_Slot_i
c1120 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 72 5f nst16b_get,...0,...0,...Field_r_
c1140 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c Slot_inst16b_get,...0,...0,...0,
c1160 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f ...0,...0,...0,...0,...Field_sr_
c1180 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f Slot_inst16b_get,...Field_st_Slo
c11a0 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 t_inst16b_get,...0,...Field_imm4
c11c0 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f _Slot_inst16b_get,...0,...Field_
c11e0 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 i_Slot_inst16b_get,...Field_imm6
c1200 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d lo_Slot_inst16b_get,...Field_imm
c1220 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6hi_Slot_inst16b_get,...Field_im
c1240 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 m7lo_Slot_inst16b_get,...Field_i
c1260 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f mm7hi_Slot_inst16b_get,...Field_
c1280 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 z_Slot_inst16b_get,...Field_imm6
c12a0 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 5f _Slot_inst16b_get,...Field_imm7_
c12c0 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c Slot_inst16b_get,...0,...0,...0,
c12e0 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 ...0,...0,...0,...0,...0,...0,..
c1300 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 .0,...0,...Field_bitindex_Slot_i
c1320 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 nst16b_get,...Field_s3to1_Slot_i
c1340 6e 73 74 31 36 62 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 30 nst16b_get,...Implicit_Field_ar0
c1360 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 34 5f 67 65 74 2c 0a _get,...Implicit_Field_ar4_get,.
c1380 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 38 5f 67 65 74 2c 0a 20 20 49 6d 70 6c ..Implicit_Field_ar8_get,...Impl
c13a0 69 63 69 74 5f 46 69 65 6c 64 5f 61 72 31 32 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f icit_Field_ar12_get,...Implicit_
c13c0 46 69 65 6c 64 5f 6d 72 30 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f Field_mr0_get,...Implicit_Field_
c13e0 6d 72 31 5f 67 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 32 5f 67 65 mr1_get,...Implicit_Field_mr2_ge
c1400 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 6d 72 33 5f 67 65 74 0a 7d 3b 0a 0a t,...Implicit_Field_mr3_get.};..
c1420 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 0a 53 6c 6f 74 5f static.xtensa_set_field_fn.Slot_
c1440 69 6e 73 74 31 36 62 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 5b 5d 20 3d 20 7b 0a 20 20 46 69 inst16b_set_field_fns[].=.{...Fi
c1460 65 6c 64 5f 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 eld_t_Slot_inst16b_set,...0,...0
c1480 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 5f 53 6c 6f 74 5f 69 6e 73 74 31 ,...0,...0,...Field_s_Slot_inst1
c14a0 36 62 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 6b_set,...0,...0,...0,...0,...0,
c14c0 0a 20 20 46 69 65 6c 64 5f 6f 70 30 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 2c 0a 20 ...Field_op0_Slot_inst16b_set,..
c14e0 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f .0,...0,...Field_r_Slot_inst16b_
c1500 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 set,...0,...0,...0,...0,...0,...
c1520 30 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 73 72 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 0,...0,...Field_sr_Slot_inst16b_
c1540 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 73 74 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 set,...Field_st_Slot_inst16b_set
c1560 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 34 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 ,...0,...Field_imm4_Slot_inst16b
c1580 5f 73 65 74 2c 0a 20 20 30 2c 0a 20 20 46 69 65 6c 64 5f 69 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 _set,...0,...Field_i_Slot_inst16
c15a0 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 74 31 b_set,...Field_imm6lo_Slot_inst1
c15c0 36 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 68 69 5f 53 6c 6f 74 5f 69 6e 73 74 6b_set,...Field_imm6hi_Slot_inst
c15e0 31 36 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 6c 6f 5f 53 6c 6f 74 5f 69 6e 73 16b_set,...Field_imm7lo_Slot_ins
c1600 74 31 36 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 68 69 5f 53 6c 6f 74 5f 69 6e t16b_set,...Field_imm7hi_Slot_in
c1620 73 74 31 36 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 7a 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 st16b_set,...Field_z_Slot_inst16
c1640 62 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 36 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 b_set,...Field_imm6_Slot_inst16b
c1660 5f 73 65 74 2c 0a 20 20 46 69 65 6c 64 5f 69 6d 6d 37 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f _set,...Field_imm7_Slot_inst16b_
c1680 73 65 74 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 set,...0,...0,...0,...0,...0,...
c16a0 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 30 2c 0a 20 20 46 69 0,...0,...0,...0,...0,...0,...Fi
c16c0 65 6c 64 5f 62 69 74 69 6e 64 65 78 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 2c 0a 20 eld_bitindex_Slot_inst16b_set,..
c16e0 20 46 69 65 6c 64 5f 73 33 74 6f 31 5f 53 6c 6f 74 5f 69 6e 73 74 31 36 62 5f 73 65 74 2c 0a 20 .Field_s3to1_Slot_inst16b_set,..
c1700 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f .Implicit_Field_set,...Implicit_
c1720 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c Field_set,...Implicit_Field_set,
c1740 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 ...Implicit_Field_set,...Implici
c1760 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 t_Field_set,...Implicit_Field_se
c1780 74 2c 0a 20 20 49 6d 70 6c 69 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 2c 0a 20 20 49 6d 70 6c 69 t,...Implicit_Field_set,...Impli
c17a0 63 69 74 5f 46 69 65 6c 64 5f 73 65 74 0a 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f cit_Field_set.};..static.xtensa_
c17c0 73 6c 6f 74 5f 69 6e 74 65 72 6e 61 6c 20 73 6c 6f 74 73 5b 5d 20 3d 20 7b 0a 20 20 7b 20 22 49 slot_internal.slots[].=.{...{."I
c17e0 6e 73 74 22 2c 20 22 78 32 34 22 2c 20 30 2c 0a 20 20 20 20 53 6c 6f 74 5f 78 32 34 5f 46 6f 72 nst",."x24",.0,.....Slot_x24_For
c1800 6d 61 74 5f 69 6e 73 74 5f 30 5f 67 65 74 2c 20 53 6c 6f 74 5f 78 32 34 5f 46 6f 72 6d 61 74 5f mat_inst_0_get,.Slot_x24_Format_
c1820 69 6e 73 74 5f 30 5f 73 65 74 2c 0a 20 20 20 20 53 6c 6f 74 5f 69 6e 73 74 5f 67 65 74 5f 66 69 inst_0_set,.....Slot_inst_get_fi
c1840 65 6c 64 5f 66 6e 73 2c 20 53 6c 6f 74 5f 69 6e 73 74 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 eld_fns,.Slot_inst_set_field_fns
c1860 2c 0a 20 20 20 20 53 6c 6f 74 5f 69 6e 73 74 5f 64 65 63 6f 64 65 2c 20 22 6e 6f 70 22 20 7d 2c ,.....Slot_inst_decode,."nop".},
c1880 0a 20 20 7b 20 22 49 6e 73 74 31 36 61 22 2c 20 22 78 31 36 61 22 2c 20 30 2c 0a 20 20 20 20 53 ...{."Inst16a",."x16a",.0,.....S
c18a0 6c 6f 74 5f 78 31 36 61 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 61 5f 30 5f 67 65 74 2c 20 53 lot_x16a_Format_inst16a_0_get,.S
c18c0 6c 6f 74 5f 78 31 36 61 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 61 5f 30 5f 73 65 74 2c 0a 20 lot_x16a_Format_inst16a_0_set,..
c18e0 20 20 20 53 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 67 65 74 5f 66 69 65 6c 64 5f 66 6e 73 2c 20 53 ...Slot_inst16a_get_field_fns,.S
c1900 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 2c 0a 20 20 20 20 53 lot_inst16a_set_field_fns,.....S
c1920 6c 6f 74 5f 69 6e 73 74 31 36 61 5f 64 65 63 6f 64 65 2c 20 22 22 20 7d 2c 0a 20 20 7b 20 22 49 lot_inst16a_decode,."".},...{."I
c1940 6e 73 74 31 36 62 22 2c 20 22 78 31 36 62 22 2c 20 30 2c 0a 20 20 20 20 53 6c 6f 74 5f 78 31 36 nst16b",."x16b",.0,.....Slot_x16
c1960 62 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 62 5f 30 5f 67 65 74 2c 20 53 6c 6f 74 5f 78 31 36 b_Format_inst16b_0_get,.Slot_x16
c1980 62 5f 46 6f 72 6d 61 74 5f 69 6e 73 74 31 36 62 5f 30 5f 73 65 74 2c 0a 20 20 20 20 53 6c 6f 74 b_Format_inst16b_0_set,.....Slot
c19a0 5f 69 6e 73 74 31 36 62 5f 67 65 74 5f 66 69 65 6c 64 5f 66 6e 73 2c 20 53 6c 6f 74 5f 69 6e 73 _inst16b_get_field_fns,.Slot_ins
c19c0 74 31 36 62 5f 73 65 74 5f 66 69 65 6c 64 5f 66 6e 73 2c 0a 20 20 20 20 53 6c 6f 74 5f 69 6e 73 t16b_set_field_fns,.....Slot_ins
c19e0 74 31 36 62 5f 64 65 63 6f 64 65 2c 20 22 6e 6f 70 2e 6e 22 20 7d 0a 7d 3b 0a 0a 0c 0a 2f 2a 20 t16b_decode,."nop.n".}.};..../*.
c1a00 49 6e 73 74 72 75 63 74 69 6f 6e 20 66 6f 72 6d 61 74 73 2e 20 20 2a 2f 0a 0a 73 74 61 74 69 63 Instruction.formats...*/..static
c1a20 20 76 6f 69 64 0a 46 6f 72 6d 61 74 5f 78 32 34 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f .void.Format_x24_encode.(xtensa_
c1a40 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 30 3b 0a 7d insnbuf.insn).{...insn[0].=.0;.}
c1a60 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 6f 72 6d 61 74 5f 78 31 36 61 5f 65 6e 63 6f 64 65 ..static.void.Format_x16a_encode
c1a80 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e 29 0a 7b 0a 20 20 69 6e 73 6e 5b .(xtensa_insnbuf.insn).{...insn[
c1aa0 30 5d 20 3d 20 30 78 38 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 76 6f 69 64 0a 46 6f 72 6d 61 74 5f 0].=.0x8;.}..static.void.Format_
c1ac0 78 31 36 62 5f 65 6e 63 6f 64 65 20 28 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 69 6e 73 6e x16b_encode.(xtensa_insnbuf.insn
c1ae0 29 0a 7b 0a 20 20 69 6e 73 6e 5b 30 5d 20 3d 20 30 78 63 3b 0a 7d 0a 0a 73 74 61 74 69 63 20 69 ).{...insn[0].=.0xc;.}..static.i
c1b00 6e 74 20 46 6f 72 6d 61 74 5f 78 32 34 5f 73 6c 6f 74 73 5b 5d 20 3d 20 7b 20 30 20 7d 3b 0a 0a nt.Format_x24_slots[].=.{.0.};..
c1b20 73 74 61 74 69 63 20 69 6e 74 20 46 6f 72 6d 61 74 5f 78 31 36 61 5f 73 6c 6f 74 73 5b 5d 20 3d static.int.Format_x16a_slots[].=
c1b40 20 7b 20 31 20 7d 3b 0a 0a 73 74 61 74 69 63 20 69 6e 74 20 46 6f 72 6d 61 74 5f 78 31 36 62 5f .{.1.};..static.int.Format_x16b_
c1b60 73 6c 6f 74 73 5b 5d 20 3d 20 7b 20 32 20 7d 3b 0a 0a 73 74 61 74 69 63 20 78 74 65 6e 73 61 5f slots[].=.{.2.};..static.xtensa_
c1b80 66 6f 72 6d 61 74 5f 69 6e 74 65 72 6e 61 6c 20 66 6f 72 6d 61 74 73 5b 5d 20 3d 20 7b 0a 20 20 format_internal.formats[].=.{...
c1ba0 7b 20 22 78 32 34 22 2c 20 33 2c 20 46 6f 72 6d 61 74 5f 78 32 34 5f 65 6e 63 6f 64 65 2c 20 31 {."x24",.3,.Format_x24_encode,.1
c1bc0 2c 20 46 6f 72 6d 61 74 5f 78 32 34 5f 73 6c 6f 74 73 20 7d 2c 0a 20 20 7b 20 22 78 31 36 61 22 ,.Format_x24_slots.},...{."x16a"
c1be0 2c 20 32 2c 20 46 6f 72 6d 61 74 5f 78 31 36 61 5f 65 6e 63 6f 64 65 2c 20 31 2c 20 46 6f 72 6d ,.2,.Format_x16a_encode,.1,.Form
c1c00 61 74 5f 78 31 36 61 5f 73 6c 6f 74 73 20 7d 2c 0a 20 20 7b 20 22 78 31 36 62 22 2c 20 32 2c 20 at_x16a_slots.},...{."x16b",.2,.
c1c20 46 6f 72 6d 61 74 5f 78 31 36 62 5f 65 6e 63 6f 64 65 2c 20 31 2c 20 46 6f 72 6d 61 74 5f 78 31 Format_x16b_encode,.1,.Format_x1
c1c40 36 62 5f 73 6c 6f 74 73 20 7d 0a 7d 3b 0a 0a 0a 73 74 61 74 69 63 20 69 6e 74 0a 66 6f 72 6d 61 6b_slots.}.};...static.int.forma
c1c60 74 5f 64 65 63 6f 64 65 72 20 28 63 6f 6e 73 74 20 78 74 65 6e 73 61 5f 69 6e 73 6e 62 75 66 20 t_decoder.(const.xtensa_insnbuf.
c1c80 69 6e 73 6e 29 0a 7b 0a 20 20 69 66 20 28 28 69 6e 73 6e 5b 30 5d 20 26 20 30 78 38 29 20 3d 3d insn).{...if.((insn[0].&.0x8).==
c1ca0 20 30 29 0a 20 20 20 20 72 65 74 75 72 6e 20 30 3b 20 2f 2a 20 78 32 34 20 2a 2f 0a 20 20 69 66 .0).....return.0;./*.x24.*/...if
c1cc0 20 28 28 69 6e 73 6e 5b 30 5d 20 26 20 30 78 63 29 20 3d 3d 20 30 78 38 29 0a 20 20 20 20 72 65 .((insn[0].&.0xc).==.0x8).....re
c1ce0 74 75 72 6e 20 31 3b 20 2f 2a 20 78 31 36 61 20 2a 2f 0a 20 20 69 66 20 28 28 69 6e 73 6e 5b 30 turn.1;./*.x16a.*/...if.((insn[0
c1d00 5d 20 26 20 30 78 65 29 20 3d 3d 20 30 78 63 29 0a 20 20 20 20 72 65 74 75 72 6e 20 32 3b 20 2f ].&.0xe).==.0xc).....return.2;./
c1d20 2a 20 78 31 36 62 20 2a 2f 0a 20 20 72 65 74 75 72 6e 20 2d 31 3b 0a 7d 0a 0a 73 74 61 74 69 63 *.x16b.*/...return.-1;.}..static
c1d40 20 69 6e 74 20 6c 65 6e 67 74 68 5f 74 61 62 6c 65 5b 32 35 36 5d 20 3d 20 7b 0a 20 20 33 2c 0a .int.length_table[256].=.{...3,.
c1d60 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 ..3,...3,...3,...3,...3,...3,...
c1d80 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 3,...2,...2,...2,...2,...2,...2,
c1da0 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c ...-1,...-1,...3,...3,...3,...3,
c1dc0 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 ...3,...3,...3,...3,...2,...2,..
c1de0 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 .2,...2,...2,...2,...-1,...-1,..
c1e00 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 .3,...3,...3,...3,...3,...3,...3
c1e20 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a ,...3,...2,...2,...2,...2,...2,.
c1e40 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a ..2,...-1,...-1,...3,...3,...3,.
c1e60 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 ..3,...3,...3,...3,...3,...2,...
c1e80 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 2,...2,...2,...2,...2,...-1,...-
c1ea0 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 1,...3,...3,...3,...3,...3,...3,
c1ec0 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 ...3,...3,...2,...2,...2,...2,..
c1ee0 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 .2,...2,...-1,...-1,...3,...3,..
c1f00 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 .3,...3,...3,...3,...3,...3,...2
c1f20 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c ,...2,...2,...2,...2,...2,...-1,
c1f40 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a ...-1,...3,...3,...3,...3,...3,.
c1f60 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 ..3,...3,...3,...2,...2,...2,...
c1f80 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 2,...2,...2,...-1,...-1,...3,...
c1fa0 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 3,...3,...3,...3,...3,...3,...3,
c1fc0 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 ...2,...2,...2,...2,...2,...2,..
c1fe0 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 .-1,...-1,...3,...3,...3,...3,..
c2000 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 .3,...3,...3,...3,...2,...2,...2
c2020 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 ,...2,...2,...2,...-1,...-1,...3
c2040 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a ,...3,...3,...3,...3,...3,...3,.
c2060 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 ..3,...2,...2,...2,...2,...2,...
c2080 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 2,...-1,...-1,...3,...3,...3,...
c20a0 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 3,...3,...3,...3,...3,...2,...2,
c20c0 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c ...2,...2,...2,...2,...-1,...-1,
c20e0 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 ...3,...3,...3,...3,...3,...3,..
c2100 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 .3,...3,...2,...2,...2,...2,...2
c2120 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 ,...2,...-1,...-1,...3,...3,...3
c2140 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a ,...3,...3,...3,...3,...3,...2,.
c2160 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 ..2,...2,...2,...2,...2,...-1,..
c2180 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 .-1,...3,...3,...3,...3,...3,...
c21a0 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 3,...3,...3,...2,...2,...2,...2,
c21c0 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c ...2,...2,...-1,...-1,...3,...3,
c21e0 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 ...3,...3,...3,...3,...3,...3,..
c2200 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d .2,...2,...2,...2,...2,...2,...-
c2220 31 2c 0a 20 20 2d 31 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 1,...-1,...3,...3,...3,...3,...3
c2240 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 33 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a ,...3,...3,...3,...2,...2,...2,.
c2260 20 20 32 2c 0a 20 20 32 2c 0a 20 20 32 2c 0a 20 20 2d 31 2c 0a 20 20 2d 31 0a 7d 3b 0a 0a 73 74 ..2,...2,...2,...-1,...-1.};..st
c2280 61 74 69 63 20 69 6e 74 0a 6c 65 6e 67 74 68 5f 64 65 63 6f 64 65 72 20 28 63 6f 6e 73 74 20 75 atic.int.length_decoder.(const.u
c22a0 6e 73 69 67 6e 65 64 20 63 68 61 72 20 2a 69 6e 73 6e 29 0a 7b 0a 20 20 69 6e 74 20 6c 20 3d 20 nsigned.char.*insn).{...int.l.=.
c22c0 69 6e 73 6e 5b 30 5d 3b 0a 20 20 72 65 74 75 72 6e 20 6c 65 6e 67 74 68 5f 74 61 62 6c 65 5b 6c insn[0];...return.length_table[l
c22e0 5d 3b 0a 7d 0a 0a 0c 0a 2f 2a 20 54 6f 70 2d 6c 65 76 65 6c 20 49 53 41 20 73 74 72 75 63 74 75 ];.}..../*.Top-level.ISA.structu
c2300 72 65 2e 20 20 2a 2f 0a 0a 78 74 65 6e 73 61 5f 69 73 61 5f 69 6e 74 65 72 6e 61 6c 20 78 74 65 re...*/..xtensa_isa_internal.xte
c2320 6e 73 61 5f 6d 6f 64 75 6c 65 73 20 3d 20 7b 0a 20 20 30 20 2f 2a 20 6c 69 74 74 6c 65 2d 65 6e nsa_modules.=.{...0./*.little-en
c2340 64 69 61 6e 20 2a 2f 2c 0a 20 20 33 20 2f 2a 20 69 6e 73 6e 5f 73 69 7a 65 20 2a 2f 2c 20 30 2c dian.*/,...3./*.insn_size.*/,.0,
c2360 0a 20 20 33 2c 20 66 6f 72 6d 61 74 73 2c 20 66 6f 72 6d 61 74 5f 64 65 63 6f 64 65 72 2c 20 6c ...3,.formats,.format_decoder,.l
c2380 65 6e 67 74 68 5f 64 65 63 6f 64 65 72 2c 0a 20 20 33 2c 20 73 6c 6f 74 73 2c 0a 20 20 35 36 20 ength_decoder,...3,.slots,...56.
c23a0 2f 2a 20 6e 75 6d 5f 66 69 65 6c 64 73 20 2a 2f 2c 0a 20 20 39 34 2c 20 6f 70 65 72 61 6e 64 73 /*.num_fields.*/,...94,.operands
c23c0 2c 0a 20 20 33 33 31 2c 20 69 63 6c 61 73 73 65 73 2c 0a 20 20 34 35 37 2c 20 6f 70 63 6f 64 65 ,...331,.iclasses,...457,.opcode
c23e0 73 2c 20 30 2c 0a 20 20 32 2c 20 72 65 67 66 69 6c 65 73 2c 0a 20 20 4e 55 4d 5f 53 54 41 54 45 s,.0,...2,.regfiles,...NUM_STATE
c2400 53 2c 20 73 74 61 74 65 73 2c 20 30 2c 0a 20 20 4e 55 4d 5f 53 59 53 52 45 47 53 2c 20 73 79 73 S,.states,.0,...NUM_SYSREGS,.sys
c2420 72 65 67 73 2c 20 30 2c 0a 20 20 7b 20 4d 41 58 5f 53 50 45 43 49 41 4c 5f 52 45 47 2c 20 4d 41 regs,.0,...{.MAX_SPECIAL_REG,.MA
c2440 58 5f 55 53 45 52 5f 52 45 47 20 7d 2c 20 7b 20 30 2c 20 30 20 7d 2c 0a 20 20 31 2c 20 69 6e 74 X_USER_REG.},.{.0,.0.},...1,.int
c2460 65 72 66 61 63 65 73 2c 20 30 2c 0a 20 20 30 2c 20 66 75 6e 63 55 6e 69 74 73 2c 20 30 0a 7d 3b erfaces,.0,...0,.funcUnits,.0.};
c2480 0a 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c24a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c24c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c24e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2500 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2520 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c25a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c25c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c25e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2600 67 64 62 2f 69 6e 63 6c 75 64 65 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 gdb/include/....................
c2620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2660 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c2680 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 33 33 37 32 00 20 35 00 00 00 0000000.13013421467.013372..5...
c26a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c26c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c26e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2700 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c2720 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c2740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c27a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c27c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c27e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2800 67 64 62 2f 69 6e 63 6c 75 64 65 2f 78 74 65 6e 73 61 2d 63 6f 6e 66 69 67 2e 68 00 00 00 00 00 gdb/include/xtensa-config.h.....
c2820 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2860 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
c2880 30 30 31 30 35 34 36 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 36 33 31 36 00 20 30 00 00 00 0010546.13013421467.016316..0...
c28a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c28c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c28e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2900 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c2920 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c2940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c29a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c29c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c29e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c2a00 2f 2a 20 58 74 65 6e 73 61 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 20 73 65 74 74 69 6e 67 73 /*.Xtensa.configuration.settings
c2a20 2e 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 28 43 29 20 32 30 30 31 2c 20 32 30 30 32 2c 20 32 .....Copyright.(C).2001,.2002,.2
c2a40 30 30 33 2c 20 32 30 30 34 2c 20 32 30 30 35 2c 20 32 30 30 36 2c 20 32 30 30 37 2c 20 32 30 30 003,.2004,.2005,.2006,.2007,.200
c2a60 38 0a 20 20 20 46 72 65 65 20 53 6f 66 74 77 61 72 65 20 46 6f 75 6e 64 61 74 69 6f 6e 2c 20 49 8....Free.Software.Foundation,.I
c2a80 6e 63 2e 0a 20 20 20 43 6f 6e 74 72 69 62 75 74 65 64 20 62 79 20 42 6f 62 20 57 69 6c 73 6f 6e nc.....Contributed.by.Bob.Wilson
c2aa0 20 28 62 6f 62 2e 77 69 6c 73 6f 6e 40 61 63 6d 2e 6f 72 67 29 20 61 74 20 54 65 6e 73 69 6c 69 .(bob.wilson@acm.org).at.Tensili
c2ac0 63 61 2e 0a 0a 20 20 20 54 68 69 73 20 70 72 6f 67 72 61 6d 20 69 73 20 66 72 65 65 20 73 6f 66 ca......This.program.is.free.sof
c2ae0 74 77 61 72 65 3b 20 79 6f 75 20 63 61 6e 20 72 65 64 69 73 74 72 69 62 75 74 65 20 69 74 20 61 tware;.you.can.redistribute.it.a
c2b00 6e 64 2f 6f 72 20 6d 6f 64 69 66 79 0a 20 20 20 69 74 20 75 6e 64 65 72 20 74 68 65 20 74 65 72 nd/or.modify....it.under.the.ter
c2b20 6d 73 20 6f 66 20 74 68 65 20 47 4e 55 20 47 65 6e 65 72 61 6c 20 50 75 62 6c 69 63 20 4c 69 63 ms.of.the.GNU.General.Public.Lic
c2b40 65 6e 73 65 20 61 73 20 70 75 62 6c 69 73 68 65 64 20 62 79 0a 20 20 20 74 68 65 20 46 72 65 65 ense.as.published.by....the.Free
c2b60 20 53 6f 66 74 77 61 72 65 20 46 6f 75 6e 64 61 74 69 6f 6e 3b 20 65 69 74 68 65 72 20 76 65 72 .Software.Foundation;.either.ver
c2b80 73 69 6f 6e 20 32 2c 20 6f 72 20 28 61 74 20 79 6f 75 72 20 6f 70 74 69 6f 6e 29 0a 20 20 20 61 sion.2,.or.(at.your.option)....a
c2ba0 6e 79 20 6c 61 74 65 72 20 76 65 72 73 69 6f 6e 2e 0a 0a 20 20 20 54 68 69 73 20 70 72 6f 67 72 ny.later.version......This.progr
c2bc0 61 6d 20 69 73 20 64 69 73 74 72 69 62 75 74 65 64 20 69 6e 20 74 68 65 20 68 6f 70 65 20 74 68 am.is.distributed.in.the.hope.th
c2be0 61 74 20 69 74 20 77 69 6c 6c 20 62 65 20 75 73 65 66 75 6c 2c 20 62 75 74 0a 20 20 20 57 49 54 at.it.will.be.useful,.but....WIT
c2c00 48 4f 55 54 20 41 4e 59 20 57 41 52 52 41 4e 54 59 3b 20 77 69 74 68 6f 75 74 20 65 76 65 6e 20 HOUT.ANY.WARRANTY;.without.even.
c2c20 74 68 65 20 69 6d 70 6c 69 65 64 20 77 61 72 72 61 6e 74 79 20 6f 66 0a 20 20 20 4d 45 52 43 48 the.implied.warranty.of....MERCH
c2c40 41 4e 54 41 42 49 4c 49 54 59 20 6f 72 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 41 52 54 ANTABILITY.or.FITNESS.FOR.A.PART
c2c60 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 2e 20 20 53 65 65 20 74 68 65 20 47 4e 55 0a 20 20 20 ICULAR.PURPOSE...See.the.GNU....
c2c80 47 65 6e 65 72 61 6c 20 50 75 62 6c 69 63 20 4c 69 63 65 6e 73 65 20 66 6f 72 20 6d 6f 72 65 20 General.Public.License.for.more.
c2ca0 64 65 74 61 69 6c 73 2e 0a 0a 20 20 20 59 6f 75 20 73 68 6f 75 6c 64 20 68 61 76 65 20 72 65 63 details......You.should.have.rec
c2cc0 65 69 76 65 64 20 61 20 63 6f 70 79 20 6f 66 20 74 68 65 20 47 4e 55 20 47 65 6e 65 72 61 6c 20 eived.a.copy.of.the.GNU.General.
c2ce0 50 75 62 6c 69 63 20 4c 69 63 65 6e 73 65 0a 20 20 20 61 6c 6f 6e 67 20 77 69 74 68 20 74 68 69 Public.License....along.with.thi
c2d00 73 20 70 72 6f 67 72 61 6d 3b 20 69 66 20 6e 6f 74 2c 20 77 72 69 74 65 20 74 6f 20 74 68 65 20 s.program;.if.not,.write.to.the.
c2d20 46 72 65 65 20 53 6f 66 74 77 61 72 65 0a 20 20 20 46 6f 75 6e 64 61 74 69 6f 6e 2c 20 35 31 20 Free.Software....Foundation,.51.
c2d40 46 72 61 6e 6b 6c 69 6e 20 53 74 72 65 65 74 20 2d 20 46 69 66 74 68 20 46 6c 6f 6f 72 2c 20 42 Franklin.Street.-.Fifth.Floor,.B
c2d60 6f 73 74 6f 6e 2c 20 4d 41 20 30 32 31 31 30 2d 31 33 30 31 2c 20 55 53 41 2e 20 20 2a 2f 0a 0a oston,.MA.02110-1301,.USA...*/..
c2d80 23 69 66 6e 64 65 66 20 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 5f 48 0a 23 64 65 66 69 6e 65 20 #ifndef.XTENSA_CONFIG_H.#define.
c2da0 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 5f 48 0a 0a 2f 2a 20 54 68 65 20 6d 61 63 72 6f 73 20 64 XTENSA_CONFIG_H../*.The.macros.d
c2dc0 65 66 69 6e 65 64 20 68 65 72 65 20 6d 61 74 63 68 20 74 68 6f 73 65 20 77 69 74 68 20 74 68 65 efined.here.match.those.with.the
c2de0 20 73 61 6d 65 20 6e 61 6d 65 73 20 69 6e 20 74 68 65 20 58 74 65 6e 73 61 0a 20 20 20 63 6f 6d .same.names.in.the.Xtensa....com
c2e00 70 69 6c 65 2d 74 69 6d 65 20 48 41 4c 20 28 48 61 72 64 77 61 72 65 20 41 62 73 74 72 61 63 74 pile-time.HAL.(Hardware.Abstract
c2e20 69 6f 6e 20 4c 61 79 65 72 29 2e 20 20 50 6c 65 61 73 65 20 72 65 66 65 72 20 74 6f 20 74 68 65 ion.Layer)...Please.refer.to.the
c2e40 0a 20 20 20 58 74 65 6e 73 61 20 53 79 73 74 65 6d 20 53 6f 66 74 77 61 72 65 20 52 65 66 65 72 ....Xtensa.System.Software.Refer
c2e60 65 6e 63 65 20 4d 61 6e 75 61 6c 20 66 6f 72 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 6f 66 ence.Manual.for.documentation.of
c2e80 20 74 68 65 73 65 0a 20 20 20 6d 61 63 72 6f 73 2e 20 20 2a 2f 0a 0a 23 75 6e 64 65 66 20 58 43 .these....macros...*/..#undef.XC
c2ea0 48 41 4c 5f 48 41 56 45 5f 42 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 HAL_HAVE_BE.#define.XCHAL_HAVE_B
c2ec0 45 09 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 4e 53 49 54 59 E...0..#undef.XCHAL_HAVE_DENSITY
c2ee0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 4e 53 49 54 59 09 09 31 0a 0a .#define.XCHAL_HAVE_DENSITY..1..
c2f00 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 53 54 31 36 0a 23 64 65 66 69 6e #undef.XCHAL_HAVE_CONST16.#defin
c2f20 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 53 54 31 36 09 09 30 0a 0a 23 75 6e 64 65 66 20 e.XCHAL_HAVE_CONST16..0..#undef.
c2f40 58 43 48 41 4c 5f 48 41 56 45 5f 41 42 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 XCHAL_HAVE_ABS.#define.XCHAL_HAV
c2f60 45 5f 41 42 53 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 41 44 44 E_ABS...1..#undef.XCHAL_HAVE_ADD
c2f80 58 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 41 44 44 58 09 09 09 31 0a 0a 23 X.#define.XCHAL_HAVE_ADDX...1..#
c2fa0 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 33 32 52 0a 23 64 65 66 69 6e 65 20 58 43 undef.XCHAL_HAVE_L32R.#define.XC
c2fc0 48 41 4c 5f 48 41 56 45 5f 4c 33 32 52 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 53 48 41 4c 5f HAL_HAVE_L32R...1..#undef.XSHAL_
c2fe0 55 53 45 5f 41 42 53 4f 4c 55 54 45 5f 4c 49 54 45 52 41 4c 53 0a 23 64 65 66 69 6e 65 20 58 53 USE_ABSOLUTE_LITERALS.#define.XS
c3000 48 41 4c 5f 55 53 45 5f 41 42 53 4f 4c 55 54 45 5f 4c 49 54 45 52 41 4c 53 09 30 0a 0a 23 75 6e HAL_USE_ABSOLUTE_LITERALS.0..#un
c3020 64 65 66 20 58 53 48 41 4c 5f 48 41 56 45 5f 54 45 58 54 5f 53 45 43 54 49 4f 4e 5f 4c 49 54 45 def.XSHAL_HAVE_TEXT_SECTION_LITE
c3040 52 41 4c 53 0a 23 64 65 66 69 6e 65 20 58 53 48 41 4c 5f 48 41 56 45 5f 54 45 58 54 5f 53 45 43 RALS.#define.XSHAL_HAVE_TEXT_SEC
c3060 54 49 4f 4e 5f 4c 49 54 45 52 41 4c 53 20 31 20 2f 2a 20 53 65 74 20 69 66 20 74 68 65 72 65 20 TION_LITERALS.1./*.Set.if.there.
c3080 69 73 20 73 6f 6d 65 20 6d 65 6d 6f 72 79 20 74 68 61 74 20 61 6c 6c 6f 77 73 20 62 6f 74 68 20 is.some.memory.that.allows.both.
c30a0 63 6f 64 65 20 61 6e 64 20 6c 69 74 65 72 61 6c 73 2e 20 20 2a 2f 0a 0a 23 75 6e 64 65 66 20 58 code.and.literals...*/..#undef.X
c30c0 43 48 41 4c 5f 48 41 56 45 5f 4d 41 43 31 36 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 CHAL_HAVE_MAC16.#define.XCHAL_HA
c30e0 56 45 5f 4d 41 43 31 36 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d VE_MAC16..1..#undef.XCHAL_HAVE_M
c3100 55 4c 31 36 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 31 36 09 09 31 UL16.#define.XCHAL_HAVE_MUL16..1
c3120 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 0a 23 64 65 66 69 6e ..#undef.XCHAL_HAVE_MUL32.#defin
c3140 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 e.XCHAL_HAVE_MUL32..1..#undef.XC
c3160 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 5f 48 49 47 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 HAL_HAVE_MUL32_HIGH.#define.XCHA
c3180 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 5f 48 49 47 48 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 L_HAVE_MUL32_HIGH..0..#undef.XCH
c31a0 41 4c 5f 48 41 56 45 5f 44 49 56 33 32 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 AL_HAVE_DIV32.#define.XCHAL_HAVE
c31c0 5f 44 49 56 33 32 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 53 41 _DIV32..1..#undef.XCHAL_HAVE_NSA
c31e0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 53 41 09 09 09 31 0a 0a 23 75 6e .#define.XCHAL_HAVE_NSA...1..#un
c3200 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 49 4e 4d 41 58 0a 23 64 65 66 69 6e 65 20 58 43 def.XCHAL_HAVE_MINMAX.#define.XC
c3220 48 41 4c 5f 48 41 56 45 5f 4d 49 4e 4d 41 58 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c HAL_HAVE_MINMAX..1..#undef.XCHAL
c3240 5f 48 41 56 45 5f 53 45 58 54 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 45 _HAVE_SEXT.#define.XCHAL_HAVE_SE
c3260 58 54 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 4f 4f 50 53 0a XT...1..#undef.XCHAL_HAVE_LOOPS.
c3280 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 4f 4f 50 53 09 09 31 0a 0a 23 75 6e #define.XCHAL_HAVE_LOOPS..1..#un
c32a0 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 48 52 45 41 44 50 54 52 0a 23 64 65 66 69 6e 65 def.XCHAL_HAVE_THREADPTR.#define
c32c0 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 48 52 45 41 44 50 54 52 09 09 31 0a 0a 23 75 6e 64 65 66 .XCHAL_HAVE_THREADPTR..1..#undef
c32e0 20 58 43 48 41 4c 5f 48 41 56 45 5f 52 45 4c 45 41 53 45 5f 53 59 4e 43 0a 23 64 65 66 69 6e 65 .XCHAL_HAVE_RELEASE_SYNC.#define
c3300 20 58 43 48 41 4c 5f 48 41 56 45 5f 52 45 4c 45 41 53 45 5f 53 59 4e 43 09 09 31 0a 0a 23 75 6e .XCHAL_HAVE_RELEASE_SYNC..1..#un
c3320 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 33 32 43 31 49 0a 23 64 65 66 69 6e 65 20 58 43 def.XCHAL_HAVE_S32C1I.#define.XC
c3340 48 41 4c 5f 48 41 56 45 5f 53 33 32 43 31 49 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c HAL_HAVE_S32C1I..1..#undef.XCHAL
c3360 5f 48 41 56 45 5f 42 4f 4f 4c 45 41 4e 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 _HAVE_BOOLEANS.#define.XCHAL_HAV
c3380 45 5f 42 4f 4f 4c 45 41 4e 53 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 E_BOOLEANS..0..#undef.XCHAL_HAVE
c33a0 5f 46 50 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 09 09 09 30 0a 0a 23 _FP.#define.XCHAL_HAVE_FP...0..#
c33c0 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 44 49 56 0a 23 64 65 66 69 6e 65 20 undef.XCHAL_HAVE_FP_DIV.#define.
c33e0 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 44 49 56 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 XCHAL_HAVE_FP_DIV..0..#undef.XCH
c3400 41 4c 5f 48 41 56 45 5f 46 50 5f 52 45 43 49 50 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 AL_HAVE_FP_RECIP.#define.XCHAL_H
c3420 41 56 45 5f 46 50 5f 52 45 43 49 50 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 AVE_FP_RECIP..0..#undef.XCHAL_HA
c3440 56 45 5f 46 50 5f 53 51 52 54 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 VE_FP_SQRT.#define.XCHAL_HAVE_FP
c3460 5f 53 51 52 54 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 _SQRT..0..#undef.XCHAL_HAVE_FP_R
c3480 53 51 52 54 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 53 51 52 54 SQRT.#define.XCHAL_HAVE_FP_RSQRT
c34a0 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 41 43 43 45 4c ..0..#undef.XCHAL_HAVE_DFP_ACCEL
c34c0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 41 43 43 45 4c 09 09 30 .#define.XCHAL_HAVE_DFP_ACCEL..0
c34e0 0a 2f 2a 20 46 6f 72 20 62 61 63 6b 77 61 72 64 20 63 6f 6d 70 61 74 69 62 69 6c 69 74 79 20 2a ./*.For.backward.compatibility.*
c3500 2f 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 61 63 63 65 6c 0a 23 64 /.#undef.XCHAL_HAVE_DFP_accel.#d
c3520 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 61 63 63 65 6c 09 09 58 43 48 41 efine.XCHAL_HAVE_DFP_accel..XCHA
c3540 4c 5f 48 41 56 45 5f 44 46 50 5f 41 43 43 45 4c 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 L_HAVE_DFP_ACCEL..#undef.XCHAL_H
c3560 41 56 45 5f 57 49 4e 44 4f 57 45 44 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f AVE_WINDOWED.#define.XCHAL_HAVE_
c3580 57 49 4e 44 4f 57 45 44 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4e 55 4d 5f 41 52 WINDOWED..1..#undef.XCHAL_NUM_AR
c35a0 45 47 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 09 09 09 33 32 EGS.#define.XCHAL_NUM_AREGS...32
c35c0 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 44 45 5f 42 52 41 4e 43 48 45 ..#undef.XCHAL_HAVE_WIDE_BRANCHE
c35e0 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 44 45 5f 42 52 41 4e 43 48 S.#define.XCHAL_HAVE_WIDE_BRANCH
c3600 45 53 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 52 45 44 49 43 54 45 ES.0..#undef.XCHAL_HAVE_PREDICTE
c3620 44 5f 42 52 41 4e 43 48 45 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 52 D_BRANCHES.#define.XCHAL_HAVE_PR
c3640 45 44 49 43 54 45 44 5f 42 52 41 4e 43 48 45 53 09 30 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 EDICTED_BRANCHES.0...#undef.XCHA
c3660 4c 5f 49 43 41 43 48 45 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 L_ICACHE_SIZE.#define.XCHAL_ICAC
c3680 48 45 5f 53 49 5a 45 09 09 31 36 33 38 34 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 HE_SIZE..16384..#undef.XCHAL_DCA
c36a0 43 48 45 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 53 49 CHE_SIZE.#define.XCHAL_DCACHE_SI
c36c0 5a 45 09 09 31 36 33 38 34 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c ZE..16384..#undef.XCHAL_ICACHE_L
c36e0 49 4e 45 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 4e INESIZE.#define.XCHAL_ICACHE_LIN
c3700 45 53 49 5a 45 09 09 33 32 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c ESIZE..32..#undef.XCHAL_DCACHE_L
c3720 49 4e 45 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c 49 4e INESIZE.#define.XCHAL_DCACHE_LIN
c3740 45 53 49 5a 45 09 09 33 32 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c ESIZE..32..#undef.XCHAL_ICACHE_L
c3760 49 4e 45 57 49 44 54 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 INEWIDTH.#define.XCHAL_ICACHE_LI
c3780 4e 45 57 49 44 54 48 09 09 35 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f NEWIDTH..5..#undef.XCHAL_DCACHE_
c37a0 4c 49 4e 45 57 49 44 54 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c LINEWIDTH.#define.XCHAL_DCACHE_L
c37c0 49 4e 45 57 49 44 54 48 09 09 35 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 43 48 45 INEWIDTH..5..#undef.XCHAL_DCACHE
c37e0 5f 49 53 5f 57 52 49 54 45 42 41 43 4b 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 _IS_WRITEBACK.#define.XCHAL_DCAC
c3800 48 45 5f 49 53 5f 57 52 49 54 45 42 41 43 4b 09 31 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c HE_IS_WRITEBACK.1...#undef.XCHAL
c3820 5f 48 41 56 45 5f 4d 4d 55 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 4d 55 _HAVE_MMU.#define.XCHAL_HAVE_MMU
c3840 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4d 4d 55 5f 4d 49 4e 5f 50 54 45 5f 50 ...1..#undef.XCHAL_MMU_MIN_PTE_P
c3860 41 47 45 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 4d 49 4e 5f 50 AGE_SIZE.#define.XCHAL_MMU_MIN_P
c3880 54 45 5f 50 41 47 45 5f 53 49 5a 45 09 31 32 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 TE_PAGE_SIZE.12...#undef.XCHAL_H
c38a0 41 56 45 5f 44 45 42 55 47 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 42 AVE_DEBUG.#define.XCHAL_HAVE_DEB
c38c0 55 47 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 42 52 45 41 4b 0a 23 UG..1..#undef.XCHAL_NUM_IBREAK.#
c38e0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 42 52 45 41 4b 09 09 32 0a 0a 23 75 6e 64 define.XCHAL_NUM_IBREAK..2..#und
c3900 65 66 20 58 43 48 41 4c 5f 4e 55 4d 5f 44 42 52 45 41 4b 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ef.XCHAL_NUM_DBREAK.#define.XCHA
c3920 4c 5f 4e 55 4d 5f 44 42 52 45 41 4b 09 09 32 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 45 L_NUM_DBREAK..2..#undef.XCHAL_DE
c3940 42 55 47 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 4c 45 56 45 BUGLEVEL.#define.XCHAL_DEBUGLEVE
c3960 4c 09 09 36 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4d 41 58 5f 49 4e 53 54 52 55 43 54 L..6...#undef.XCHAL_MAX_INSTRUCT
c3980 49 4f 4e 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 41 58 5f 49 4e 53 54 52 ION_SIZE.#define.XCHAL_MAX_INSTR
c39a0 55 43 54 49 4f 4e 5f 53 49 5a 45 09 33 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 49 4e 53 54 UCTION_SIZE.3..#undef.XCHAL_INST
c39c0 5f 46 45 54 43 48 5f 57 49 44 54 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 53 54 5f _FETCH_WIDTH.#define.XCHAL_INST_
c39e0 46 45 54 43 48 5f 57 49 44 54 48 09 09 34 0a 0a 0a 23 75 6e 64 65 66 20 58 53 48 41 4c 5f 41 42 FETCH_WIDTH..4...#undef.XSHAL_AB
c3a00 49 0a 23 75 6e 64 65 66 20 58 54 48 41 4c 5f 41 42 49 5f 57 49 4e 44 4f 57 45 44 0a 23 75 6e 64 I.#undef.XTHAL_ABI_WINDOWED.#und
c3a20 65 66 20 58 54 48 41 4c 5f 41 42 49 5f 43 41 4c 4c 30 0a 23 64 65 66 69 6e 65 20 58 53 48 41 4c ef.XTHAL_ABI_CALL0.#define.XSHAL
c3a40 5f 41 42 49 09 09 09 58 54 48 41 4c 5f 41 42 49 5f 43 41 4c 4c 30 0a 23 64 65 66 69 6e 65 20 58 _ABI...XTHAL_ABI_CALL0.#define.X
c3a60 54 48 41 4c 5f 41 42 49 5f 57 49 4e 44 4f 57 45 44 09 09 30 0a 23 64 65 66 69 6e 65 20 58 54 48 THAL_ABI_WINDOWED..0.#define.XTH
c3a80 41 4c 5f 41 42 49 5f 43 41 4c 4c 30 09 09 09 31 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f AL_ABI_CALL0...1...#undef.XCHAL_
c3aa0 4d 5f 53 54 41 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 5f 53 54 41 47 45 09 09 09 M_STAGE.#define.XCHAL_M_STAGE...
c3ac0 32 0a 0a 23 75 6e 64 65 66 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 4c 41 54 45 53 54 0a 23 64 2..#undef.XTENSA_MARCH_LATEST.#d
c3ae0 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 4c 41 54 45 53 54 09 09 32 36 30 30 30 efine.XTENSA_MARCH_LATEST..26000
c3b00 34 0a 0a 23 75 6e 64 65 66 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 45 41 52 4c 49 45 53 54 0a 4..#undef.XTENSA_MARCH_EARLIEST.
c3b20 23 64 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 45 41 52 4c 49 45 53 54 09 09 32 #define.XTENSA_MARCH_EARLIEST..2
c3b40 36 30 30 30 34 0a 0a 0a 23 65 6e 64 69 66 20 2f 2a 20 21 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 60004...#endif./*.!XTENSA_CONFIG
c3b60 5f 48 20 2a 2f 0a 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 _H.*/...........................
c3b80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3ba0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3bc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3be0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3c00 67 63 63 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 gcc/............................
c3c20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3c40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3c60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c3c80 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 31 37 34 37 00 20 35 00 00 00 0000000.13013421467.011747..5...
c3ca0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3cc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3ce0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3d00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c3d20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c3d40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3d60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3d80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3da0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3dc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3de0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3e00 67 63 63 2f 69 6e 63 6c 75 64 65 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 gcc/include/....................
c3e20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3e40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3e60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c3e80 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 33 33 37 32 00 20 35 00 00 00 0000000.13013421467.013372..5...
c3ea0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3ec0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3ee0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3f00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c3f20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c3f40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3f60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3f80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3fa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3fc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c3fe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c4000 67 63 63 2f 69 6e 63 6c 75 64 65 2f 78 74 65 6e 73 61 2d 63 6f 6e 66 69 67 2e 68 00 00 00 00 00 gcc/include/xtensa-config.h.....
c4020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c4040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c4060 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
c4080 30 30 31 30 35 34 36 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 36 33 31 36 00 20 30 00 00 00 0010546.13013421467.016316..0...
c40a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c40c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c40e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c4100 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c4120 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c4140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c4160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c4180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c41a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c41c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c41e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c4200 2f 2a 20 58 74 65 6e 73 61 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 20 73 65 74 74 69 6e 67 73 /*.Xtensa.configuration.settings
c4220 2e 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 28 43 29 20 32 30 30 31 2c 20 32 30 30 32 2c 20 32 .....Copyright.(C).2001,.2002,.2
c4240 30 30 33 2c 20 32 30 30 34 2c 20 32 30 30 35 2c 20 32 30 30 36 2c 20 32 30 30 37 2c 20 32 30 30 003,.2004,.2005,.2006,.2007,.200
c4260 38 0a 20 20 20 46 72 65 65 20 53 6f 66 74 77 61 72 65 20 46 6f 75 6e 64 61 74 69 6f 6e 2c 20 49 8....Free.Software.Foundation,.I
c4280 6e 63 2e 0a 20 20 20 43 6f 6e 74 72 69 62 75 74 65 64 20 62 79 20 42 6f 62 20 57 69 6c 73 6f 6e nc.....Contributed.by.Bob.Wilson
c42a0 20 28 62 6f 62 2e 77 69 6c 73 6f 6e 40 61 63 6d 2e 6f 72 67 29 20 61 74 20 54 65 6e 73 69 6c 69 .(bob.wilson@acm.org).at.Tensili
c42c0 63 61 2e 0a 0a 20 20 20 54 68 69 73 20 70 72 6f 67 72 61 6d 20 69 73 20 66 72 65 65 20 73 6f 66 ca......This.program.is.free.sof
c42e0 74 77 61 72 65 3b 20 79 6f 75 20 63 61 6e 20 72 65 64 69 73 74 72 69 62 75 74 65 20 69 74 20 61 tware;.you.can.redistribute.it.a
c4300 6e 64 2f 6f 72 20 6d 6f 64 69 66 79 0a 20 20 20 69 74 20 75 6e 64 65 72 20 74 68 65 20 74 65 72 nd/or.modify....it.under.the.ter
c4320 6d 73 20 6f 66 20 74 68 65 20 47 4e 55 20 47 65 6e 65 72 61 6c 20 50 75 62 6c 69 63 20 4c 69 63 ms.of.the.GNU.General.Public.Lic
c4340 65 6e 73 65 20 61 73 20 70 75 62 6c 69 73 68 65 64 20 62 79 0a 20 20 20 74 68 65 20 46 72 65 65 ense.as.published.by....the.Free
c4360 20 53 6f 66 74 77 61 72 65 20 46 6f 75 6e 64 61 74 69 6f 6e 3b 20 65 69 74 68 65 72 20 76 65 72 .Software.Foundation;.either.ver
c4380 73 69 6f 6e 20 32 2c 20 6f 72 20 28 61 74 20 79 6f 75 72 20 6f 70 74 69 6f 6e 29 0a 20 20 20 61 sion.2,.or.(at.your.option)....a
c43a0 6e 79 20 6c 61 74 65 72 20 76 65 72 73 69 6f 6e 2e 0a 0a 20 20 20 54 68 69 73 20 70 72 6f 67 72 ny.later.version......This.progr
c43c0 61 6d 20 69 73 20 64 69 73 74 72 69 62 75 74 65 64 20 69 6e 20 74 68 65 20 68 6f 70 65 20 74 68 am.is.distributed.in.the.hope.th
c43e0 61 74 20 69 74 20 77 69 6c 6c 20 62 65 20 75 73 65 66 75 6c 2c 20 62 75 74 0a 20 20 20 57 49 54 at.it.will.be.useful,.but....WIT
c4400 48 4f 55 54 20 41 4e 59 20 57 41 52 52 41 4e 54 59 3b 20 77 69 74 68 6f 75 74 20 65 76 65 6e 20 HOUT.ANY.WARRANTY;.without.even.
c4420 74 68 65 20 69 6d 70 6c 69 65 64 20 77 61 72 72 61 6e 74 79 20 6f 66 0a 20 20 20 4d 45 52 43 48 the.implied.warranty.of....MERCH
c4440 41 4e 54 41 42 49 4c 49 54 59 20 6f 72 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 41 52 54 ANTABILITY.or.FITNESS.FOR.A.PART
c4460 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 2e 20 20 53 65 65 20 74 68 65 20 47 4e 55 0a 20 20 20 ICULAR.PURPOSE...See.the.GNU....
c4480 47 65 6e 65 72 61 6c 20 50 75 62 6c 69 63 20 4c 69 63 65 6e 73 65 20 66 6f 72 20 6d 6f 72 65 20 General.Public.License.for.more.
c44a0 64 65 74 61 69 6c 73 2e 0a 0a 20 20 20 59 6f 75 20 73 68 6f 75 6c 64 20 68 61 76 65 20 72 65 63 details......You.should.have.rec
c44c0 65 69 76 65 64 20 61 20 63 6f 70 79 20 6f 66 20 74 68 65 20 47 4e 55 20 47 65 6e 65 72 61 6c 20 eived.a.copy.of.the.GNU.General.
c44e0 50 75 62 6c 69 63 20 4c 69 63 65 6e 73 65 0a 20 20 20 61 6c 6f 6e 67 20 77 69 74 68 20 74 68 69 Public.License....along.with.thi
c4500 73 20 70 72 6f 67 72 61 6d 3b 20 69 66 20 6e 6f 74 2c 20 77 72 69 74 65 20 74 6f 20 74 68 65 20 s.program;.if.not,.write.to.the.
c4520 46 72 65 65 20 53 6f 66 74 77 61 72 65 0a 20 20 20 46 6f 75 6e 64 61 74 69 6f 6e 2c 20 35 31 20 Free.Software....Foundation,.51.
c4540 46 72 61 6e 6b 6c 69 6e 20 53 74 72 65 65 74 20 2d 20 46 69 66 74 68 20 46 6c 6f 6f 72 2c 20 42 Franklin.Street.-.Fifth.Floor,.B
c4560 6f 73 74 6f 6e 2c 20 4d 41 20 30 32 31 31 30 2d 31 33 30 31 2c 20 55 53 41 2e 20 20 2a 2f 0a 0a oston,.MA.02110-1301,.USA...*/..
c4580 23 69 66 6e 64 65 66 20 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 5f 48 0a 23 64 65 66 69 6e 65 20 #ifndef.XTENSA_CONFIG_H.#define.
c45a0 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 5f 48 0a 0a 2f 2a 20 54 68 65 20 6d 61 63 72 6f 73 20 64 XTENSA_CONFIG_H../*.The.macros.d
c45c0 65 66 69 6e 65 64 20 68 65 72 65 20 6d 61 74 63 68 20 74 68 6f 73 65 20 77 69 74 68 20 74 68 65 efined.here.match.those.with.the
c45e0 20 73 61 6d 65 20 6e 61 6d 65 73 20 69 6e 20 74 68 65 20 58 74 65 6e 73 61 0a 20 20 20 63 6f 6d .same.names.in.the.Xtensa....com
c4600 70 69 6c 65 2d 74 69 6d 65 20 48 41 4c 20 28 48 61 72 64 77 61 72 65 20 41 62 73 74 72 61 63 74 pile-time.HAL.(Hardware.Abstract
c4620 69 6f 6e 20 4c 61 79 65 72 29 2e 20 20 50 6c 65 61 73 65 20 72 65 66 65 72 20 74 6f 20 74 68 65 ion.Layer)...Please.refer.to.the
c4640 0a 20 20 20 58 74 65 6e 73 61 20 53 79 73 74 65 6d 20 53 6f 66 74 77 61 72 65 20 52 65 66 65 72 ....Xtensa.System.Software.Refer
c4660 65 6e 63 65 20 4d 61 6e 75 61 6c 20 66 6f 72 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 6f 66 ence.Manual.for.documentation.of
c4680 20 74 68 65 73 65 0a 20 20 20 6d 61 63 72 6f 73 2e 20 20 2a 2f 0a 0a 23 75 6e 64 65 66 20 58 43 .these....macros...*/..#undef.XC
c46a0 48 41 4c 5f 48 41 56 45 5f 42 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 HAL_HAVE_BE.#define.XCHAL_HAVE_B
c46c0 45 09 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 4e 53 49 54 59 E...0..#undef.XCHAL_HAVE_DENSITY
c46e0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 4e 53 49 54 59 09 09 31 0a 0a .#define.XCHAL_HAVE_DENSITY..1..
c4700 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 53 54 31 36 0a 23 64 65 66 69 6e #undef.XCHAL_HAVE_CONST16.#defin
c4720 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 53 54 31 36 09 09 30 0a 0a 23 75 6e 64 65 66 20 e.XCHAL_HAVE_CONST16..0..#undef.
c4740 58 43 48 41 4c 5f 48 41 56 45 5f 41 42 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 XCHAL_HAVE_ABS.#define.XCHAL_HAV
c4760 45 5f 41 42 53 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 41 44 44 E_ABS...1..#undef.XCHAL_HAVE_ADD
c4780 58 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 41 44 44 58 09 09 09 31 0a 0a 23 X.#define.XCHAL_HAVE_ADDX...1..#
c47a0 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 33 32 52 0a 23 64 65 66 69 6e 65 20 58 43 undef.XCHAL_HAVE_L32R.#define.XC
c47c0 48 41 4c 5f 48 41 56 45 5f 4c 33 32 52 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 53 48 41 4c 5f HAL_HAVE_L32R...1..#undef.XSHAL_
c47e0 55 53 45 5f 41 42 53 4f 4c 55 54 45 5f 4c 49 54 45 52 41 4c 53 0a 23 64 65 66 69 6e 65 20 58 53 USE_ABSOLUTE_LITERALS.#define.XS
c4800 48 41 4c 5f 55 53 45 5f 41 42 53 4f 4c 55 54 45 5f 4c 49 54 45 52 41 4c 53 09 30 0a 0a 23 75 6e HAL_USE_ABSOLUTE_LITERALS.0..#un
c4820 64 65 66 20 58 53 48 41 4c 5f 48 41 56 45 5f 54 45 58 54 5f 53 45 43 54 49 4f 4e 5f 4c 49 54 45 def.XSHAL_HAVE_TEXT_SECTION_LITE
c4840 52 41 4c 53 0a 23 64 65 66 69 6e 65 20 58 53 48 41 4c 5f 48 41 56 45 5f 54 45 58 54 5f 53 45 43 RALS.#define.XSHAL_HAVE_TEXT_SEC
c4860 54 49 4f 4e 5f 4c 49 54 45 52 41 4c 53 20 31 20 2f 2a 20 53 65 74 20 69 66 20 74 68 65 72 65 20 TION_LITERALS.1./*.Set.if.there.
c4880 69 73 20 73 6f 6d 65 20 6d 65 6d 6f 72 79 20 74 68 61 74 20 61 6c 6c 6f 77 73 20 62 6f 74 68 20 is.some.memory.that.allows.both.
c48a0 63 6f 64 65 20 61 6e 64 20 6c 69 74 65 72 61 6c 73 2e 20 20 2a 2f 0a 0a 23 75 6e 64 65 66 20 58 code.and.literals...*/..#undef.X
c48c0 43 48 41 4c 5f 48 41 56 45 5f 4d 41 43 31 36 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 CHAL_HAVE_MAC16.#define.XCHAL_HA
c48e0 56 45 5f 4d 41 43 31 36 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d VE_MAC16..1..#undef.XCHAL_HAVE_M
c4900 55 4c 31 36 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 31 36 09 09 31 UL16.#define.XCHAL_HAVE_MUL16..1
c4920 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 0a 23 64 65 66 69 6e ..#undef.XCHAL_HAVE_MUL32.#defin
c4940 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 e.XCHAL_HAVE_MUL32..1..#undef.XC
c4960 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 5f 48 49 47 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 HAL_HAVE_MUL32_HIGH.#define.XCHA
c4980 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 5f 48 49 47 48 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 L_HAVE_MUL32_HIGH..0..#undef.XCH
c49a0 41 4c 5f 48 41 56 45 5f 44 49 56 33 32 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 AL_HAVE_DIV32.#define.XCHAL_HAVE
c49c0 5f 44 49 56 33 32 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 53 41 _DIV32..1..#undef.XCHAL_HAVE_NSA
c49e0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 53 41 09 09 09 31 0a 0a 23 75 6e .#define.XCHAL_HAVE_NSA...1..#un
c4a00 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 49 4e 4d 41 58 0a 23 64 65 66 69 6e 65 20 58 43 def.XCHAL_HAVE_MINMAX.#define.XC
c4a20 48 41 4c 5f 48 41 56 45 5f 4d 49 4e 4d 41 58 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c HAL_HAVE_MINMAX..1..#undef.XCHAL
c4a40 5f 48 41 56 45 5f 53 45 58 54 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 45 _HAVE_SEXT.#define.XCHAL_HAVE_SE
c4a60 58 54 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 4f 4f 50 53 0a XT...1..#undef.XCHAL_HAVE_LOOPS.
c4a80 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 4f 4f 50 53 09 09 31 0a 0a 23 75 6e #define.XCHAL_HAVE_LOOPS..1..#un
c4aa0 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 48 52 45 41 44 50 54 52 0a 23 64 65 66 69 6e 65 def.XCHAL_HAVE_THREADPTR.#define
c4ac0 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 48 52 45 41 44 50 54 52 09 09 31 0a 0a 23 75 6e 64 65 66 .XCHAL_HAVE_THREADPTR..1..#undef
c4ae0 20 58 43 48 41 4c 5f 48 41 56 45 5f 52 45 4c 45 41 53 45 5f 53 59 4e 43 0a 23 64 65 66 69 6e 65 .XCHAL_HAVE_RELEASE_SYNC.#define
c4b00 20 58 43 48 41 4c 5f 48 41 56 45 5f 52 45 4c 45 41 53 45 5f 53 59 4e 43 09 09 31 0a 0a 23 75 6e .XCHAL_HAVE_RELEASE_SYNC..1..#un
c4b20 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 33 32 43 31 49 0a 23 64 65 66 69 6e 65 20 58 43 def.XCHAL_HAVE_S32C1I.#define.XC
c4b40 48 41 4c 5f 48 41 56 45 5f 53 33 32 43 31 49 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c HAL_HAVE_S32C1I..1..#undef.XCHAL
c4b60 5f 48 41 56 45 5f 42 4f 4f 4c 45 41 4e 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 _HAVE_BOOLEANS.#define.XCHAL_HAV
c4b80 45 5f 42 4f 4f 4c 45 41 4e 53 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 E_BOOLEANS..0..#undef.XCHAL_HAVE
c4ba0 5f 46 50 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 09 09 09 30 0a 0a 23 _FP.#define.XCHAL_HAVE_FP...0..#
c4bc0 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 44 49 56 0a 23 64 65 66 69 6e 65 20 undef.XCHAL_HAVE_FP_DIV.#define.
c4be0 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 44 49 56 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 XCHAL_HAVE_FP_DIV..0..#undef.XCH
c4c00 41 4c 5f 48 41 56 45 5f 46 50 5f 52 45 43 49 50 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 AL_HAVE_FP_RECIP.#define.XCHAL_H
c4c20 41 56 45 5f 46 50 5f 52 45 43 49 50 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 AVE_FP_RECIP..0..#undef.XCHAL_HA
c4c40 56 45 5f 46 50 5f 53 51 52 54 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 VE_FP_SQRT.#define.XCHAL_HAVE_FP
c4c60 5f 53 51 52 54 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 _SQRT..0..#undef.XCHAL_HAVE_FP_R
c4c80 53 51 52 54 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 53 51 52 54 SQRT.#define.XCHAL_HAVE_FP_RSQRT
c4ca0 09 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 41 43 43 45 4c ..0..#undef.XCHAL_HAVE_DFP_ACCEL
c4cc0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 41 43 43 45 4c 09 09 30 .#define.XCHAL_HAVE_DFP_ACCEL..0
c4ce0 0a 2f 2a 20 46 6f 72 20 62 61 63 6b 77 61 72 64 20 63 6f 6d 70 61 74 69 62 69 6c 69 74 79 20 2a ./*.For.backward.compatibility.*
c4d00 2f 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 61 63 63 65 6c 0a 23 64 /.#undef.XCHAL_HAVE_DFP_accel.#d
c4d20 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 61 63 63 65 6c 09 09 58 43 48 41 efine.XCHAL_HAVE_DFP_accel..XCHA
c4d40 4c 5f 48 41 56 45 5f 44 46 50 5f 41 43 43 45 4c 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 L_HAVE_DFP_ACCEL..#undef.XCHAL_H
c4d60 41 56 45 5f 57 49 4e 44 4f 57 45 44 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f AVE_WINDOWED.#define.XCHAL_HAVE_
c4d80 57 49 4e 44 4f 57 45 44 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4e 55 4d 5f 41 52 WINDOWED..1..#undef.XCHAL_NUM_AR
c4da0 45 47 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 09 09 09 33 32 EGS.#define.XCHAL_NUM_AREGS...32
c4dc0 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 44 45 5f 42 52 41 4e 43 48 45 ..#undef.XCHAL_HAVE_WIDE_BRANCHE
c4de0 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 44 45 5f 42 52 41 4e 43 48 S.#define.XCHAL_HAVE_WIDE_BRANCH
c4e00 45 53 09 30 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 52 45 44 49 43 54 45 ES.0..#undef.XCHAL_HAVE_PREDICTE
c4e20 44 5f 42 52 41 4e 43 48 45 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 52 D_BRANCHES.#define.XCHAL_HAVE_PR
c4e40 45 44 49 43 54 45 44 5f 42 52 41 4e 43 48 45 53 09 30 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 EDICTED_BRANCHES.0...#undef.XCHA
c4e60 4c 5f 49 43 41 43 48 45 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 L_ICACHE_SIZE.#define.XCHAL_ICAC
c4e80 48 45 5f 53 49 5a 45 09 09 31 36 33 38 34 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 HE_SIZE..16384..#undef.XCHAL_DCA
c4ea0 43 48 45 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 53 49 CHE_SIZE.#define.XCHAL_DCACHE_SI
c4ec0 5a 45 09 09 31 36 33 38 34 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c ZE..16384..#undef.XCHAL_ICACHE_L
c4ee0 49 4e 45 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 4e INESIZE.#define.XCHAL_ICACHE_LIN
c4f00 45 53 49 5a 45 09 09 33 32 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c ESIZE..32..#undef.XCHAL_DCACHE_L
c4f20 49 4e 45 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c 49 4e INESIZE.#define.XCHAL_DCACHE_LIN
c4f40 45 53 49 5a 45 09 09 33 32 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c ESIZE..32..#undef.XCHAL_ICACHE_L
c4f60 49 4e 45 57 49 44 54 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 INEWIDTH.#define.XCHAL_ICACHE_LI
c4f80 4e 45 57 49 44 54 48 09 09 35 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f NEWIDTH..5..#undef.XCHAL_DCACHE_
c4fa0 4c 49 4e 45 57 49 44 54 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c LINEWIDTH.#define.XCHAL_DCACHE_L
c4fc0 49 4e 45 57 49 44 54 48 09 09 35 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 43 41 43 48 45 INEWIDTH..5..#undef.XCHAL_DCACHE
c4fe0 5f 49 53 5f 57 52 49 54 45 42 41 43 4b 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 _IS_WRITEBACK.#define.XCHAL_DCAC
c5000 48 45 5f 49 53 5f 57 52 49 54 45 42 41 43 4b 09 31 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c HE_IS_WRITEBACK.1...#undef.XCHAL
c5020 5f 48 41 56 45 5f 4d 4d 55 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 4d 55 _HAVE_MMU.#define.XCHAL_HAVE_MMU
c5040 09 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4d 4d 55 5f 4d 49 4e 5f 50 54 45 5f 50 ...1..#undef.XCHAL_MMU_MIN_PTE_P
c5060 41 47 45 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 4d 49 4e 5f 50 AGE_SIZE.#define.XCHAL_MMU_MIN_P
c5080 54 45 5f 50 41 47 45 5f 53 49 5a 45 09 31 32 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 48 TE_PAGE_SIZE.12...#undef.XCHAL_H
c50a0 41 56 45 5f 44 45 42 55 47 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 42 AVE_DEBUG.#define.XCHAL_HAVE_DEB
c50c0 55 47 09 09 31 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 42 52 45 41 4b 0a 23 UG..1..#undef.XCHAL_NUM_IBREAK.#
c50e0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 42 52 45 41 4b 09 09 32 0a 0a 23 75 6e 64 define.XCHAL_NUM_IBREAK..2..#und
c5100 65 66 20 58 43 48 41 4c 5f 4e 55 4d 5f 44 42 52 45 41 4b 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ef.XCHAL_NUM_DBREAK.#define.XCHA
c5120 4c 5f 4e 55 4d 5f 44 42 52 45 41 4b 09 09 32 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 44 45 L_NUM_DBREAK..2..#undef.XCHAL_DE
c5140 42 55 47 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 4c 45 56 45 BUGLEVEL.#define.XCHAL_DEBUGLEVE
c5160 4c 09 09 36 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 4d 41 58 5f 49 4e 53 54 52 55 43 54 L..6...#undef.XCHAL_MAX_INSTRUCT
c5180 49 4f 4e 5f 53 49 5a 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 41 58 5f 49 4e 53 54 52 ION_SIZE.#define.XCHAL_MAX_INSTR
c51a0 55 43 54 49 4f 4e 5f 53 49 5a 45 09 33 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f 49 4e 53 54 UCTION_SIZE.3..#undef.XCHAL_INST
c51c0 5f 46 45 54 43 48 5f 57 49 44 54 48 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 53 54 5f _FETCH_WIDTH.#define.XCHAL_INST_
c51e0 46 45 54 43 48 5f 57 49 44 54 48 09 09 34 0a 0a 0a 23 75 6e 64 65 66 20 58 53 48 41 4c 5f 41 42 FETCH_WIDTH..4...#undef.XSHAL_AB
c5200 49 0a 23 75 6e 64 65 66 20 58 54 48 41 4c 5f 41 42 49 5f 57 49 4e 44 4f 57 45 44 0a 23 75 6e 64 I.#undef.XTHAL_ABI_WINDOWED.#und
c5220 65 66 20 58 54 48 41 4c 5f 41 42 49 5f 43 41 4c 4c 30 0a 23 64 65 66 69 6e 65 20 58 53 48 41 4c ef.XTHAL_ABI_CALL0.#define.XSHAL
c5240 5f 41 42 49 09 09 09 58 54 48 41 4c 5f 41 42 49 5f 43 41 4c 4c 30 0a 23 64 65 66 69 6e 65 20 58 _ABI...XTHAL_ABI_CALL0.#define.X
c5260 54 48 41 4c 5f 41 42 49 5f 57 49 4e 44 4f 57 45 44 09 09 30 0a 23 64 65 66 69 6e 65 20 58 54 48 THAL_ABI_WINDOWED..0.#define.XTH
c5280 41 4c 5f 41 42 49 5f 43 41 4c 4c 30 09 09 09 31 0a 0a 0a 23 75 6e 64 65 66 20 58 43 48 41 4c 5f AL_ABI_CALL0...1...#undef.XCHAL_
c52a0 4d 5f 53 54 41 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 5f 53 54 41 47 45 09 09 09 M_STAGE.#define.XCHAL_M_STAGE...
c52c0 32 0a 0a 23 75 6e 64 65 66 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 4c 41 54 45 53 54 0a 23 64 2..#undef.XTENSA_MARCH_LATEST.#d
c52e0 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 4c 41 54 45 53 54 09 09 32 36 30 30 30 efine.XTENSA_MARCH_LATEST..26000
c5300 34 0a 0a 23 75 6e 64 65 66 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 45 41 52 4c 49 45 53 54 0a 4..#undef.XTENSA_MARCH_EARLIEST.
c5320 23 64 65 66 69 6e 65 20 58 54 45 4e 53 41 5f 4d 41 52 43 48 5f 45 41 52 4c 49 45 53 54 09 09 32 #define.XTENSA_MARCH_EARLIEST..2
c5340 36 30 30 30 34 0a 0a 0a 23 65 6e 64 69 66 20 2f 2a 20 21 58 54 45 4e 53 41 5f 43 4f 4e 46 49 47 60004...#endif./*.!XTENSA_CONFIG
c5360 5f 48 20 2a 2f 0a 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 _H.*/...........................
c5380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c53a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c53c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c53e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5400 6e 65 77 6c 69 62 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 newlib/.........................
c5420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5460 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c5480 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 32 35 31 32 00 20 35 00 00 00 0000000.13044774476.012512..5...
c54a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c54c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c54e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5500 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c5520 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c5540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c55a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c55c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c55e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5600 6e 65 77 6c 69 62 2f 6e 65 77 6c 69 62 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 newlib/newlib/..................
c5620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5660 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c5680 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 33 37 37 32 00 20 35 00 00 00 0000000.13044774476.013772..5...
c56a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c56c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c56e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5700 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c5720 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c5740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c57a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c57c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c57e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5800 6e 65 77 6c 69 62 2f 6e 65 77 6c 69 62 2f 6c 69 62 63 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 newlib/newlib/libc/.............
c5820 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5860 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c5880 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 34 37 30 33 00 20 35 00 00 00 0000000.13044774476.014703..5...
c58a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c58c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c58e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5900 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c5920 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c5940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c59a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c59c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c59e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5a00 6e 65 77 6c 69 62 2f 6e 65 77 6c 69 62 2f 6c 69 62 63 2f 73 79 73 2f 00 00 00 00 00 00 00 00 00 newlib/newlib/libc/sys/.........
c5a20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5a40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5a60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c5a80 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 35 35 32 31 00 20 35 00 00 00 0000000.13044774476.015521..5...
c5aa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5ac0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5ae0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5b00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c5b20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c5b40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5b60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5b80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5ba0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5bc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5be0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5c00 6e 65 77 6c 69 62 2f 6e 65 77 6c 69 62 2f 6c 69 62 63 2f 73 79 73 2f 78 74 65 6e 73 61 2f 00 00 newlib/newlib/libc/sys/xtensa/..
c5c20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5c40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5c60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c5c80 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 37 30 32 33 00 20 35 00 00 00 0000000.13044774476.017023..5...
c5ca0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5cc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5ce0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5d00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c5d20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c5d40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5d60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5d80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5da0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5dc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5de0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5e00 6e 65 77 6c 69 62 2f 6e 65 77 6c 69 62 2f 6c 69 62 63 2f 73 79 73 2f 78 74 65 6e 73 61 2f 69 6e newlib/newlib/libc/sys/xtensa/in
c5e20 63 6c 75 64 65 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 clude/..........................
c5e40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5e60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c5e80 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 32 30 34 34 36 00 20 35 00 00 00 0000000.13044774476.020446..5...
c5ea0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5ec0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5ee0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5f00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c5f20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c5f40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5f60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5f80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5fa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5fc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c5fe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6000 6e 65 77 6c 69 62 2f 6e 65 77 6c 69 62 2f 6c 69 62 63 2f 73 79 73 2f 78 74 65 6e 73 61 2f 69 6e newlib/newlib/libc/sys/xtensa/in
c6020 63 6c 75 64 65 2f 78 74 65 6e 73 61 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 clude/xtensa/...................
c6040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6060 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c6080 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 32 31 37 35 30 00 20 35 00 00 00 0000000.13044774476.021750..5...
c60a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c60c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c60e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6100 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c6120 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c6140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c61a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c61c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c61e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6200 6e 65 77 6c 69 62 2f 6e 65 77 6c 69 62 2f 6c 69 62 63 2f 73 79 73 2f 78 74 65 6e 73 61 2f 69 6e newlib/newlib/libc/sys/xtensa/in
c6220 63 6c 75 64 65 2f 78 74 65 6e 73 61 2f 63 6f 6e 66 69 67 2f 00 00 00 00 00 00 00 00 00 00 00 00 clude/xtensa/config/............
c6240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6260 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
c6280 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 32 33 32 31 35 00 20 35 00 00 00 0000000.13044774476.023215..5...
c62a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c62c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c62e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6300 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c6320 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c6340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c63a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c63c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c63e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6400 6e 65 77 6c 69 62 2f 6e 65 77 6c 69 62 2f 6c 69 62 63 2f 73 79 73 2f 78 74 65 6e 73 61 2f 69 6e newlib/newlib/libc/sys/xtensa/in
c6420 63 6c 75 64 65 2f 78 74 65 6e 73 61 2f 63 6f 6e 66 69 67 2f 63 6f 72 65 2d 69 73 61 2e 68 00 00 clude/xtensa/config/core-isa.h..
c6440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6460 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
c6480 30 30 36 34 32 33 35 00 31 33 30 34 34 37 37 34 34 37 36 00 30 32 35 31 30 32 00 20 30 00 00 00 0064235.13044774476.025102..0...
c64a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c64c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c64e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6500 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
c6520 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
c6540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c65a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c65c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c65e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
c6600 2f 2a 20 0a 20 2a 20 78 74 65 6e 73 61 2f 63 6f 6e 66 69 67 2f 63 6f 72 65 2d 69 73 61 2e 68 20 /*...*.xtensa/config/core-isa.h.
c6620 2d 2d 20 48 41 4c 20 64 65 66 69 6e 69 74 69 6f 6e 73 20 74 68 61 74 20 61 72 65 20 64 65 70 65 --.HAL.definitions.that.are.depe
c6640 6e 64 65 6e 74 20 6f 6e 20 58 74 65 6e 73 61 0a 20 2a 09 09 09 09 70 72 6f 63 65 73 73 6f 72 20 ndent.on.Xtensa..*....processor.
c6660 43 4f 52 45 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 0a 20 2a 0a 20 2a 20 20 53 65 65 20 3c 78 CORE.configuration..*..*..See.<x
c6680 74 65 6e 73 61 2f 63 6f 6e 66 69 67 2f 63 6f 72 65 2e 68 3e 2c 20 77 68 69 63 68 20 69 6e 63 6c tensa/config/core.h>,.which.incl
c66a0 75 64 65 73 20 74 68 69 73 20 66 69 6c 65 2c 20 66 6f 72 20 6d 6f 72 65 20 64 65 74 61 69 6c 73 udes.this.file,.for.more.details
c66c0 2e 0a 20 2a 2f 0a 0a 2f 2a 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 20 63 6f 72 65 20 ...*/../*.Xtensa.processor.core.
c66e0 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 20 69 6e 66 6f 72 6d 61 74 69 6f 6e 2e 0a 0a 20 20 20 43 configuration.information......C
c6700 6f 70 79 72 69 67 68 74 20 28 63 29 20 31 39 39 39 2d 32 30 31 36 20 54 65 6e 73 69 6c 69 63 61 opyright.(c).1999-2016.Tensilica
c6720 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 79 20 67 .Inc......Permission.is.hereby.g
c6740 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e 79 20 70 ranted,.free.of.charge,.to.any.p
c6760 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 74 68 69 erson.obtaining....a.copy.of.thi
c6780 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 75 6d 65 s.software.and.associated.docume
c67a0 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 72 65 22 ntation.files.(the...."Software"
c67c0 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 74 68 6f ),.to.deal.in.the.Software.witho
c67e0 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 77 69 74 ut.restriction,.including....wit
c6800 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e 20 74 68 65 20 72 69 67 68 74 73 20 74 6f 20 75 73 hout.limitation.the.rights.to.us
c6820 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 73 68 2c e,.copy,.modify,.merge,.publish,
c6840 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 6e 64 2f ....distribute,.sublicense,.and/
c6860 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2c 20 or.sell.copies.of.the.Software,.
c6880 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 68 6f 6d and.to....permit.persons.to.whom
c68a0 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f 20 64 6f .the.Software.is.furnished.to.do
c68c0 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 69 6e 67 .so,.subject.to....the.following
c68e0 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f 70 79 72 .conditions:.....The.above.copyr
c6900 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 6f 6e 20 ight.notice.and.this.permission.
c6920 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 6e 20 61 notice.shall.be.included....in.a
c6940 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 69 6f 6e ll.copies.or.substantial.portion
c6960 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f 46 54 57 s.of.the.Software......THE.SOFTW
c6980 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 4f 55 54 ARE.IS.PROVIDED."AS.IS",.WITHOUT
c69a0 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 52 45 53 .WARRANTY.OF.ANY.KIND,....EXPRES
c69c0 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e 4f 54 20 S.OR.IMPLIED,.INCLUDING.BUT.NOT.
c69e0 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a 20 20 20 LIMITED.TO.THE.WARRANTIES.OF....
c6a00 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 MERCHANTABILITY,.FITNESS.FOR.A.P
c6a20 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 49 4e 47 ARTICULAR.PURPOSE.AND.NONINFRING
c6a40 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 48 45 20 EMENT.....IN.NO.EVENT.SHALL.THE.
c6a60 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 42 45 20 AUTHORS.OR.COPYRIGHT.HOLDERS.BE.
c6a80 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 47 45 53 LIABLE.FOR.ANY....CLAIM,.DAMAGES
c6aa0 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 49 4e 20 .OR.OTHER.LIABILITY,.WHETHER.IN.
c6ac0 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 54 20 4f AN.ACTION.OF.CONTRACT,....TORT.O
c6ae0 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 54 20 4f R.OTHERWISE,.ARISING.FROM,.OUT.O
c6b00 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 20 20 53 F.OR.IN.CONNECTION.WITH.THE....S
c6b20 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 45 41 4c OFTWARE.OR.THE.USE.OR.OTHER.DEAL
c6b40 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 23 69 66 6e 64 INGS.IN.THE.SOFTWARE...*/..#ifnd
c6b60 65 66 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 43 4f 4e 46 49 47 55 52 41 54 49 4f 4e 5f 48 0a ef._XTENSA_CORE_CONFIGURATION_H.
c6b80 23 64 65 66 69 6e 65 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 43 4f 4e 46 49 47 55 52 41 54 49 #define._XTENSA_CORE_CONFIGURATI
c6ba0 4f 4e 5f 48 0a 0a 0a 2f 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ON_H.../************************
c6bc0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
c6be0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 0a 09 20 20 20 20 50 61 72 61 6d 65 ********************......Parame
c6c00 74 65 72 73 20 55 73 65 66 75 6c 20 66 6f 72 20 41 6e 79 20 43 6f 64 65 2c 20 55 53 45 52 20 6f ters.Useful.for.Any.Code,.USER.o
c6c20 72 20 50 52 49 56 49 4c 45 47 45 44 0a 20 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a r.PRIVILEGED..******************
c6c40 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
c6c60 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2f 0a 0a 2f 2a 0a **************************/../*.
c6c80 20 2a 20 20 4e 6f 74 65 3a 20 20 4d 61 63 72 6f 73 20 6f 66 20 74 68 65 20 66 6f 72 6d 20 58 43 .*..Note:..Macros.of.the.form.XC
c6ca0 48 41 4c 5f 48 41 56 45 5f 2a 2a 2a 20 68 61 76 65 20 61 20 76 61 6c 75 65 20 6f 66 20 31 20 69 HAL_HAVE_***.have.a.value.of.1.i
c6cc0 66 20 74 68 65 20 6f 70 74 69 6f 6e 20 69 73 0a 20 2a 20 20 63 6f 6e 66 69 67 75 72 65 64 2c 20 f.the.option.is..*..configured,.
c6ce0 61 6e 64 20 61 20 76 61 6c 75 65 20 6f 66 20 30 20 6f 74 68 65 72 77 69 73 65 2e 20 20 54 68 65 and.a.value.of.0.otherwise...The
c6d00 73 65 20 6d 61 63 72 6f 73 20 61 72 65 20 61 6c 77 61 79 73 20 64 65 66 69 6e 65 64 2e 0a 20 2a se.macros.are.always.defined...*
c6d20 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d /.../*--------------------------
c6d40 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c6d60 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 49 53 41 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d ------------.....ISA...---------
c6d80 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c6da0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a -----------------------------*/.
c6dc0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 45 09 09 09 30 09 2f 2a 20 62 69 .#define.XCHAL_HAVE_BE...0./*.bi
c6de0 67 2d 65 6e 64 69 61 6e 20 62 79 74 65 20 6f 72 64 65 72 69 6e 67 20 2a 2f 0a 23 64 65 66 69 6e g-endian.byte.ordering.*/.#defin
c6e00 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 4e 44 4f 57 45 44 09 09 31 09 2f 2a 20 77 69 6e 64 e.XCHAL_HAVE_WINDOWED..1./*.wind
c6e20 6f 77 65 64 20 72 65 67 69 73 74 65 72 73 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 owed.registers.option.*/.#define
c6e40 20 58 43 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 09 09 09 33 32 09 2f 2a 20 6e 75 6d 20 6f 66 20 .XCHAL_NUM_AREGS...32./*.num.of.
c6e60 70 68 79 73 69 63 61 6c 20 61 64 64 72 20 72 65 67 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 physical.addr.regs.*/.#define.XC
c6e80 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 5f 4c 4f 47 32 09 09 35 09 2f 2a 20 6c 6f 67 32 28 58 43 HAL_NUM_AREGS_LOG2..5./*.log2(XC
c6ea0 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f HAL_NUM_AREGS).*/.#define.XCHAL_
c6ec0 4d 41 58 5f 49 4e 53 54 52 55 43 54 49 4f 4e 5f 53 49 5a 45 09 33 09 2f 2a 20 6d 61 78 20 69 6e MAX_INSTRUCTION_SIZE.3./*.max.in
c6ee0 73 74 72 20 62 79 74 65 73 20 28 33 2e 2e 38 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 str.bytes.(3..8).*/.#define.XCHA
c6f00 4c 5f 48 41 56 45 5f 44 45 42 55 47 09 09 31 09 2f 2a 20 64 65 62 75 67 20 6f 70 74 69 6f 6e 20 L_HAVE_DEBUG..1./*.debug.option.
c6f20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 4e 53 49 54 59 09 09 31 */.#define.XCHAL_HAVE_DENSITY..1
c6f40 09 2f 2a 20 31 36 2d 62 69 74 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 ./*.16-bit.instructions.*/.#defi
c6f60 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 4f 4f 50 53 09 09 31 09 2f 2a 20 7a 65 72 6f 2d 6f ne.XCHAL_HAVE_LOOPS..1./*.zero-o
c6f80 76 65 72 68 65 61 64 20 6c 6f 6f 70 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4c verhead.loops.*/.#define.XCHAL_L
c6fa0 4f 4f 50 5f 42 55 46 46 45 52 5f 53 49 5a 45 09 09 30 09 2f 2a 20 7a 65 72 6f 2d 6f 76 2e 20 6c OOP_BUFFER_SIZE..0./*.zero-ov..l
c6fc0 6f 6f 70 20 69 6e 73 74 72 20 62 75 66 66 65 72 20 73 69 7a 65 20 2a 2f 0a 23 64 65 66 69 6e 65 oop.instr.buffer.size.*/.#define
c6fe0 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 53 41 09 09 09 31 09 2f 2a 20 4e 53 41 2f 4e 53 41 55 20 .XCHAL_HAVE_NSA...1./*.NSA/NSAU.
c7000 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 instructions.*/.#define.XCHAL_HA
c7020 56 45 5f 4d 49 4e 4d 41 58 09 09 31 09 2f 2a 20 4d 49 4e 2f 4d 41 58 20 69 6e 73 74 72 75 63 74 VE_MINMAX..1./*.MIN/MAX.instruct
c7040 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 45 58 54 09 ions.*/.#define.XCHAL_HAVE_SEXT.
c7060 09 09 31 09 2f 2a 20 53 45 58 54 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 ..1./*.SEXT.instruction.*/.#defi
c7080 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 50 42 49 54 53 09 09 30 09 2f 2a 20 44 45 50 42 ne.XCHAL_HAVE_DEPBITS..0./*.DEPB
c70a0 49 54 53 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c ITS.instruction.*/.#define.XCHAL
c70c0 5f 48 41 56 45 5f 43 4c 41 4d 50 53 09 09 31 09 2f 2a 20 43 4c 41 4d 50 53 20 69 6e 73 74 72 75 _HAVE_CLAMPS..1./*.CLAMPS.instru
c70e0 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 31 ction.*/.#define.XCHAL_HAVE_MUL1
c7100 36 09 09 31 09 2f 2a 20 4d 55 4c 31 36 53 2f 4d 55 4c 31 36 55 20 69 6e 73 74 72 75 63 74 69 6f 6..1./*.MUL16S/MUL16U.instructio
c7120 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 09 09 ns.*/.#define.XCHAL_HAVE_MUL32..
c7140 31 09 2f 2a 20 4d 55 4c 4c 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 1./*.MULL.instruction.*/.#define
c7160 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 5f 48 49 47 48 09 09 30 09 2f 2a 20 4d 55 4c .XCHAL_HAVE_MUL32_HIGH..0./*.MUL
c7180 55 48 2f 4d 55 4c 53 48 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 UH/MULSH.instructions.*/.#define
c71a0 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 49 56 33 32 09 09 31 09 2f 2a 20 51 55 4f 53 2f 51 55 4f .XCHAL_HAVE_DIV32..1./*.QUOS/QUO
c71c0 55 2f 52 45 4d 53 2f 52 45 4d 55 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 U/REMS/REMU.instructions.*/.#def
c71e0 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 33 32 52 09 09 09 31 09 2f 2a 20 4c 33 32 52 20 ine.XCHAL_HAVE_L32R...1./*.L32R.
c7200 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 instruction.*/.#define.XCHAL_HAV
c7220 45 5f 41 42 53 4f 4c 55 54 45 5f 4c 49 54 45 52 41 4c 53 09 30 09 2f 2a 20 6e 6f 6e 2d 50 43 2d E_ABSOLUTE_LITERALS.0./*.non-PC-
c7240 72 65 6c 20 28 65 78 74 65 6e 64 65 64 29 20 4c 33 32 52 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 rel.(extended).L32R.*/.#define.X
c7260 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 53 54 31 36 09 09 30 09 2f 2a 20 43 4f 4e 53 54 31 36 20 CHAL_HAVE_CONST16..0./*.CONST16.
c7280 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 instruction.*/.#define.XCHAL_HAV
c72a0 45 5f 41 44 44 58 09 09 09 31 09 2f 2a 20 41 44 44 58 23 2f 53 55 42 58 23 20 69 6e 73 74 72 75 E_ADDX...1./*.ADDX#/SUBX#.instru
c72c0 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 44 ctions.*/.#define.XCHAL_HAVE_WID
c72e0 45 5f 42 52 41 4e 43 48 45 53 09 30 09 2f 2a 20 42 2a 2e 57 31 38 20 6f 72 20 42 2a 2e 57 31 35 E_BRANCHES.0./*.B*.W18.or.B*.W15
c7300 20 69 6e 73 74 72 27 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 .instr's.*/.#define.XCHAL_HAVE_P
c7320 52 45 44 49 43 54 45 44 5f 42 52 41 4e 43 48 45 53 09 30 09 2f 2a 20 42 5b 45 51 2f 45 51 5a 2f REDICTED_BRANCHES.0./*.B[EQ/EQZ/
c7340 4e 45 2f 4e 45 5a 5d 54 20 69 6e 73 74 72 27 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 NE/NEZ]T.instr's.*/.#define.XCHA
c7360 4c 5f 48 41 56 45 5f 43 41 4c 4c 34 41 4e 44 31 32 09 09 31 09 2f 2a 20 28 6f 62 73 6f 6c 65 74 L_HAVE_CALL4AND12..1./*.(obsolet
c7380 65 20 6f 70 74 69 6f 6e 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f e.option).*/.#define.XCHAL_HAVE_
c73a0 41 42 53 09 09 09 31 09 2f 2a 20 41 42 53 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 2f 2a ABS...1./*.ABS.instruction.*/./*
c73c0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 4f 50 43 09 09 30 2a 2f 09 2f 2a 20 #define.XCHAL_HAVE_POPC..0*/./*.
c73e0 50 4f 50 43 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 2f 2a 23 64 65 66 69 6e 65 20 58 43 POPC.instruction.*/./*#define.XC
c7400 48 41 4c 5f 48 41 56 45 5f 43 52 43 09 09 30 2a 2f 09 2f 2a 20 43 52 43 20 69 6e 73 74 72 75 63 HAL_HAVE_CRC..0*/./*.CRC.instruc
c7420 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 52 45 4c 45 41 tion.*/.#define.XCHAL_HAVE_RELEA
c7440 53 45 5f 53 59 4e 43 09 09 31 09 2f 2a 20 4c 33 32 41 49 2f 53 33 32 52 49 20 69 6e 73 74 72 75 SE_SYNC..1./*.L32AI/S32RI.instru
c7460 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 33 32 ctions.*/.#define.XCHAL_HAVE_S32
c7480 43 31 49 09 09 31 09 2f 2a 20 53 33 32 43 31 49 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a C1I..1./*.S32C1I.instruction.*/.
c74a0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 50 45 43 55 4c 41 54 49 4f 4e 09 09 #define.XCHAL_HAVE_SPECULATION..
c74c0 30 09 2f 2a 20 73 70 65 63 75 6c 61 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 0./*.speculation.*/.#define.XCHA
c74e0 4c 5f 48 41 56 45 5f 46 55 4c 4c 5f 52 45 53 45 54 09 09 31 09 2f 2a 20 61 6c 6c 20 72 65 67 73 L_HAVE_FULL_RESET..1./*.all.regs
c7500 2f 73 74 61 74 65 20 72 65 73 65 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 /state.reset.*/.#define.XCHAL_NU
c7520 4d 5f 43 4f 4e 54 45 58 54 53 09 09 31 09 2f 2a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 M_CONTEXTS..1./*.*/.#define.XCHA
c7540 4c 5f 4e 55 4d 5f 4d 49 53 43 5f 52 45 47 53 09 09 32 09 2f 2a 20 6e 75 6d 20 6f 66 20 73 63 72 L_NUM_MISC_REGS..2./*.num.of.scr
c7560 61 74 63 68 20 72 65 67 73 20 28 30 2e 2e 34 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 atch.regs.(0..4).*/.#define.XCHA
c7580 4c 5f 48 41 56 45 5f 54 41 50 5f 4d 41 53 54 45 52 09 09 30 09 2f 2a 20 4a 54 41 47 20 54 41 50 L_HAVE_TAP_MASTER..0./*.JTAG.TAP
c75a0 20 63 6f 6e 74 72 6f 6c 20 69 6e 73 74 72 27 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 .control.instr's.*/.#define.XCHA
c75c0 4c 5f 48 41 56 45 5f 50 52 49 44 09 09 09 31 09 2f 2a 20 70 72 6f 63 65 73 73 6f 72 20 49 44 20 L_HAVE_PRID...1./*.processor.ID.
c75e0 72 65 67 69 73 74 65 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 45 register.*/.#define.XCHAL_HAVE_E
c7600 58 54 45 52 4e 5f 52 45 47 53 09 09 31 09 2f 2a 20 57 45 52 2f 52 45 52 20 69 6e 73 74 72 75 63 XTERN_REGS..1./*.WER/RER.instruc
c7620 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 58 09 09 tions.*/.#define.XCHAL_HAVE_MX..
c7640 09 30 09 2f 2a 20 4d 58 20 63 6f 72 65 20 28 54 65 6e 73 69 6c 69 63 61 20 69 6e 74 65 72 6e 61 .0./*.MX.core.(Tensilica.interna
c7660 6c 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 50 5f 49 4e 54 45 l).*/.#define.XCHAL_HAVE_MP_INTE
c7680 52 52 55 50 54 53 09 30 09 2f 2a 20 69 6e 74 65 72 72 75 70 74 20 64 69 73 74 72 69 62 75 74 6f RRUPTS.0./*.interrupt.distributo
c76a0 72 20 70 6f 72 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 50 5f r.port.*/.#define.XCHAL_HAVE_MP_
c76c0 52 55 4e 53 54 41 4c 4c 09 09 30 09 2f 2a 20 63 6f 72 65 20 52 75 6e 53 74 61 6c 6c 20 63 6f 6e RUNSTALL..0./*.core.RunStall.con
c76e0 74 72 6f 6c 20 70 6f 72 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f trol.port.*/.#define.XCHAL_HAVE_
c7700 50 53 4f 09 09 09 30 09 2f 2a 20 50 6f 77 65 72 20 53 68 75 74 2d 4f 66 66 20 2a 2f 0a 23 64 65 PSO...0./*.Power.Shut-Off.*/.#de
c7720 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 53 4f 5f 43 44 4d 09 09 30 09 2f 2a 20 63 6f fine.XCHAL_HAVE_PSO_CDM..0./*.co
c7740 72 65 2f 64 65 62 75 67 2f 6d 65 6d 20 70 77 72 20 64 6f 6d 61 69 6e 73 20 2a 2f 0a 23 64 65 66 re/debug/mem.pwr.domains.*/.#def
c7760 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 53 4f 5f 46 55 4c 4c 5f 52 45 54 45 4e 54 49 4f ine.XCHAL_HAVE_PSO_FULL_RETENTIO
c7780 4e 09 30 09 2f 2a 20 61 6c 6c 20 72 65 67 73 20 70 72 65 73 65 72 76 65 64 20 6f 6e 20 50 53 4f N.0./*.all.regs.preserved.on.PSO
c77a0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 48 52 45 41 44 50 54 52 .*/.#define.XCHAL_HAVE_THREADPTR
c77c0 09 09 31 09 2f 2a 20 54 48 52 45 41 44 50 54 52 20 72 65 67 69 73 74 65 72 20 2a 2f 0a 23 64 65 ..1./*.THREADPTR.register.*/.#de
c77e0 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 4f 4f 4c 45 41 4e 53 09 09 30 09 2f 2a 20 62 fine.XCHAL_HAVE_BOOLEANS..0./*.b
c7800 6f 6f 6c 65 61 6e 20 72 65 67 69 73 74 65 72 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 oolean.registers.*/.#define.XCHA
c7820 4c 5f 48 41 56 45 5f 43 50 09 09 09 31 09 2f 2a 20 43 50 45 4e 41 42 4c 45 20 72 65 67 20 28 63 L_HAVE_CP...1./*.CPENABLE.reg.(c
c7840 6f 70 72 6f 63 65 73 73 6f 72 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 5f oprocessor).*/.#define.XCHAL_CP_
c7860 4d 41 58 43 46 47 09 09 09 38 09 2f 2a 20 6d 61 78 20 61 6c 6c 6f 77 65 64 20 63 70 20 69 64 20 MAXCFG...8./*.max.allowed.cp.id.
c7880 70 6c 75 73 20 6f 6e 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d plus.one.*/.#define.XCHAL_HAVE_M
c78a0 41 43 31 36 09 09 31 09 2f 2a 20 4d 41 43 31 36 20 70 61 63 6b 61 67 65 20 2a 2f 0a 0a 23 64 65 AC16..1./*.MAC16.package.*/..#de
c78c0 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 09 09 20 30 09 2f 2a 20 46 75 fine.XCHAL_HAVE_FUSION...0./*.Fu
c78e0 73 69 6f 6e 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e sion*/.#define.XCHAL_HAVE_FUSION
c7900 5f 46 50 09 20 30 09 20 20 20 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 46 50 20 6f 70 74 69 _FP..0........./*.Fusion.FP.opti
c7920 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f on.*/.#define.XCHAL_HAVE_FUSION_
c7940 4c 4f 57 5f 50 4f 57 45 52 20 30 09 2f 2a 20 46 75 73 69 6f 6e 20 4c 6f 77 20 50 6f 77 65 72 20 LOW_POWER.0./*.Fusion.Low.Power.
c7960 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 option.*/.#define.XCHAL_HAVE_FUS
c7980 49 4f 4e 5f 41 45 53 09 20 30 09 20 20 20 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 42 4c 45 ION_AES..0........./*.Fusion.BLE
c79a0 2f 57 69 66 69 20 41 45 53 2d 31 32 38 20 43 43 4d 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 /Wifi.AES-128.CCM.option.*/.#def
c79c0 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f 43 4f 4e 56 45 4e 43 09 20 30 ine.XCHAL_HAVE_FUSION_CONVENC..0
c79e0 20 20 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 43 6f 6e 76 20 45 6e 63 6f 64 65 20 6f 70 74 ......./*.Fusion.Conv.Encode.opt
c7a00 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e ion.*/.#define.XCHAL_HAVE_FUSION
c7a20 5f 4c 46 53 52 5f 43 52 43 09 20 30 09 2f 2a 20 46 75 73 69 6f 6e 20 4c 46 53 52 2d 43 52 43 20 _LFSR_CRC..0./*.Fusion.LFSR-CRC.
c7a40 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 option.*/.#define.XCHAL_HAVE_FUS
c7a60 49 4f 4e 5f 42 49 54 4f 50 53 09 20 30 09 2f 2a 20 46 75 73 69 6f 6e 20 42 69 74 20 4f 70 65 72 ION_BITOPS..0./*.Fusion.Bit.Oper
c7a80 61 74 69 6f 6e 73 20 53 75 70 70 6f 72 74 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 ations.Support.option.*/.#define
c7aa0 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f 41 56 53 09 20 30 09 2f 2a 20 46 75 73 .XCHAL_HAVE_FUSION_AVS..0./*.Fus
c7ac0 69 6f 6e 20 41 56 53 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f ion.AVS.option.*/.#define.XCHAL_
c7ae0 48 41 56 45 5f 46 55 53 49 4f 4e 5f 31 36 42 49 54 5f 42 41 53 45 42 41 4e 44 09 20 30 09 2f 2a HAVE_FUSION_16BIT_BASEBAND..0./*
c7b00 20 46 75 73 69 6f 6e 20 31 36 2d 62 69 74 20 42 61 73 65 62 61 6e 64 20 6f 70 74 69 6f 6e 20 2a .Fusion.16-bit.Baseband.option.*
c7b20 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f 56 49 54 45 /.#define.XCHAL_HAVE_FUSION_VITE
c7b40 52 42 49 20 20 20 20 20 20 20 20 30 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 56 69 74 65 72 RBI........0...../*.Fusion.Viter
c7b60 62 69 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f bi.option.*/.#define.XCHAL_HAVE_
c7b80 46 55 53 49 4f 4e 5f 53 4f 46 54 44 45 4d 41 50 20 20 20 20 20 20 30 20 20 20 2f 2a 20 46 75 73 FUSION_SOFTDEMAP......0.../*.Fus
c7ba0 69 6f 6e 20 53 6f 66 74 20 42 69 74 20 44 65 6d 61 70 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 ion.Soft.Bit.Demap.option.*/.#de
c7bc0 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 50 52 4f 09 09 30 09 2f 2a 20 48 69 fine.XCHAL_HAVE_HIFIPRO..0./*.Hi
c7be0 46 69 50 72 6f 20 41 75 64 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e FiPro.Audio.Engine.pkg.*/.#defin
c7c00 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 34 09 09 30 09 2f 2a 20 48 69 46 69 34 20 41 e.XCHAL_HAVE_HIFI4..0./*.HiFi4.A
c7c20 75 64 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c udio.Engine.pkg.*/.#define.XCHAL
c7c40 5f 48 41 56 45 5f 48 49 46 49 34 5f 56 46 50 55 09 09 30 09 2f 2a 20 48 69 46 69 34 20 41 75 64 _HAVE_HIFI4_VFPU..0./*.HiFi4.Aud
c7c60 69 6f 20 45 6e 67 69 6e 65 20 56 46 50 55 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 io.Engine.VFPU.option.*/.#define
c7c80 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 33 09 09 30 09 2f 2a 20 48 69 46 69 33 20 41 75 .XCHAL_HAVE_HIFI3..0./*.HiFi3.Au
c7ca0 64 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f dio.Engine.pkg.*/.#define.XCHAL_
c7cc0 48 41 56 45 5f 48 49 46 49 33 5f 56 46 50 55 09 09 30 09 2f 2a 20 48 69 46 69 33 20 41 75 64 69 HAVE_HIFI3_VFPU..0./*.HiFi3.Audi
c7ce0 6f 20 45 6e 67 69 6e 65 20 56 46 50 55 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 o.Engine.VFPU.option.*/.#define.
c7d00 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 32 09 09 30 09 2f 2a 20 48 69 46 69 32 20 41 75 64 XCHAL_HAVE_HIFI2..0./*.HiFi2.Aud
c7d20 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 io.Engine.pkg.*/.#define.XCHAL_H
c7d40 41 56 45 5f 48 49 46 49 32 45 50 09 09 30 09 2f 2a 20 48 69 46 69 32 45 50 20 2a 2f 0a 23 64 65 AVE_HIFI2EP..0./*.HiFi2EP.*/.#de
c7d60 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 5f 4d 49 4e 49 09 09 30 09 0a 0a 0a fine.XCHAL_HAVE_HIFI_MINI..0....
c7d80 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 4f 52 46 50 55 32 30 30 35 #define.XCHAL_HAVE_VECTORFPU2005
c7da0 09 30 09 2f 2a 20 76 65 63 74 6f 72 20 6f 72 20 75 73 65 72 20 66 6c 6f 61 74 69 6e 67 2d 70 6f .0./*.vector.or.user.floating-po
c7dc0 69 6e 74 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 55 53 int.pkg.*/.#define.XCHAL_HAVE_US
c7de0 45 52 5f 44 50 46 50 55 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 20 20 2f 2a 20 75 73 65 72 ER_DPFPU.........0......./*.user
c7e00 20 44 50 20 66 6c 6f 61 74 69 6e 67 2d 70 6f 69 6e 74 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e .DP.floating-point.pkg.*/.#defin
c7e20 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 55 53 45 52 5f 53 50 46 50 55 20 20 20 20 20 20 20 20 20 e.XCHAL_HAVE_USER_SPFPU.........
c7e40 30 20 20 20 20 20 20 20 2f 2a 20 75 73 65 72 20 44 50 20 66 6c 6f 61 74 69 6e 67 2d 70 6f 69 6e 0......./*.user.DP.floating-poin
c7e60 74 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 20 20 t.pkg.*/.#define.XCHAL_HAVE_FP..
c7e80 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 20 2f 2a 20 73 69 6e 67 6c 65 20 ...............0....../*.single.
c7ea0 70 72 65 63 20 66 6c 6f 61 74 69 6e 67 20 70 6f 69 6e 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 prec.floating.point.*/.#define.X
c7ec0 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 44 49 56 20 20 20 20 20 20 20 20 20 20 20 20 20 30 20 20 CHAL_HAVE_FP_DIV.............0..
c7ee0 2f 2a 20 46 50 20 77 69 74 68 20 44 49 56 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 /*.FP.with.DIV.instructions.*/.#
c7f00 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 45 43 49 50 20 20 20 20 20 20 define.XCHAL_HAVE_FP_RECIP......
c7f20 20 20 20 20 20 30 20 20 20 20 20 20 20 20 2f 2a 20 46 50 20 77 69 74 68 20 52 45 43 49 50 20 69 .....0......../*.FP.with.RECIP.i
c7f40 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 nstructions.*/.#define.XCHAL_HAV
c7f60 45 5f 46 50 5f 53 51 52 54 20 20 20 20 20 20 20 20 20 20 20 20 30 20 2f 2a 20 46 50 20 77 69 74 E_FP_SQRT............0./*.FP.wit
c7f80 68 20 53 51 52 54 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 h.SQRT.instructions.*/.#define.X
c7fa0 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 53 51 52 54 20 20 20 20 20 20 20 20 20 20 20 30 20 20 CHAL_HAVE_FP_RSQRT...........0..
c7fc0 20 20 20 20 20 20 2f 2a 20 46 50 20 77 69 74 68 20 52 53 51 52 54 20 69 6e 73 74 72 75 63 74 69 ....../*.FP.with.RSQRT.instructi
c7fe0 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 20 20 20 ons.*/.#define.XCHAL_HAVE_DFP...
c8000 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 2f 2a 20 64 6f .....................0...../*.do
c8020 75 62 6c 65 20 70 72 65 63 69 73 69 6f 6e 20 46 50 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 uble.precision.FP.pkg.*/.#define
c8040 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 44 49 56 20 20 20 20 20 20 20 20 20 20 20 20 30 .XCHAL_HAVE_DFP_DIV............0
c8060 20 2f 2a 20 44 46 50 20 77 69 74 68 20 44 49 56 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f ./*.DFP.with.DIV.instructions.*/
c8080 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 52 45 43 49 50 20 20 20 .#define.XCHAL_HAVE_DFP_RECIP...
c80a0 20 20 20 20 20 20 20 30 20 20 20 20 20 20 20 2f 2a 20 44 46 50 20 77 69 74 68 20 52 45 43 49 50 .......0......./*.DFP.with.RECIP
c80c0 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 .instructions*/.#define.XCHAL_HA
c80e0 56 45 5f 44 46 50 5f 53 51 52 54 20 20 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 20 20 20 2f VE_DFP_SQRT...........0......../
c8100 2a 20 44 46 50 20 77 69 74 68 20 53 51 52 54 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a *.DFP.with.SQRT.instructions.*/.
c8120 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 52 53 51 52 54 20 20 20 20 #define.XCHAL_HAVE_DFP_RSQRT....
c8140 20 20 20 20 20 20 30 20 20 20 20 20 20 20 2f 2a 20 44 46 50 20 77 69 74 68 20 52 53 51 52 54 20 ......0......./*.DFP.with.RSQRT.
c8160 69 6e 73 74 72 75 63 74 69 6f 6e 73 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 instructions*/.#define.XCHAL_HAV
c8180 45 5f 44 46 50 5f 41 43 43 45 4c 09 09 30 09 2f 2a 20 64 6f 75 62 6c 65 20 70 72 65 63 69 73 69 E_DFP_ACCEL..0./*.double.precisi
c81a0 6f 6e 20 46 50 20 61 63 63 65 6c 65 72 61 74 69 6f 6e 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e on.FP.acceleration.pkg.*/.#defin
c81c0 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 61 63 63 65 6c 09 09 58 43 48 41 4c 5f 48 41 e.XCHAL_HAVE_DFP_accel..XCHAL_HA
c81e0 56 45 5f 44 46 50 5f 41 43 43 45 4c 09 09 09 09 2f 2a 20 66 6f 72 20 62 61 63 6b 77 61 72 64 20 VE_DFP_ACCEL..../*.for.backward.
c8200 63 6f 6d 70 61 74 69 62 69 6c 69 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f compatibility.*/..#define.XCHAL_
c8220 48 41 56 45 5f 44 46 50 55 5f 53 49 4e 47 4c 45 5f 4f 4e 4c 59 20 20 20 20 30 20 20 20 20 20 20 HAVE_DFPU_SINGLE_ONLY....0......
c8240 20 20 20 20 20 20 20 20 20 20 20 09 2f 2a 20 44 46 50 55 20 43 6f 70 72 6f 63 65 73 73 6f 72 2c ............/*.DFPU.Coprocessor,
c8260 20 73 69 6e 67 6c 65 20 70 72 65 63 69 73 69 6f 6e 20 6f 6e 6c 79 20 2a 2f 0a 23 64 65 66 69 6e .single.precision.only.*/.#defin
c8280 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 55 5f 53 49 4e 47 4c 45 5f 44 4f 55 42 4c 45 20 e.XCHAL_HAVE_DFPU_SINGLE_DOUBLE.
c82a0 20 30 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 09 2f 2a 20 44 46 50 55 20 43 6f 70 72 6f 63 .0................/*.DFPU.Coproc
c82c0 65 73 73 6f 72 2c 20 73 69 6e 67 6c 65 20 61 6e 64 20 64 6f 75 62 6c 65 20 70 72 65 63 69 73 69 essor,.single.and.double.precisi
c82e0 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 52 41 31 on.*/.#define.XCHAL_HAVE_VECTRA1
c8300 09 09 30 09 2f 2a 20 56 65 63 74 72 61 20 49 20 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 ..0./*.Vectra.I..pkg.*/.#define.
c8320 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 52 41 4c 58 09 09 30 09 2f 2a 20 56 65 63 74 72 61 XCHAL_HAVE_VECTRALX..0./*.Vectra
c8340 20 4c 58 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 44 .LX.pkg.*/.#define.XCHAL_HAVE_PD
c8360 58 34 09 09 20 20 20 20 20 20 20 20 30 09 2f 2a 20 50 44 58 34 20 2a 2f 0a 23 64 65 66 69 6e 65 X4..........0./*.PDX4.*/.#define
c8380 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 4e 58 44 32 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 .XCHAL_HAVE_CONNXD2..0./*.ConnX.
c83a0 44 32 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e D2.pkg.*/.#define.XCHAL_HAVE_CON
c83c0 4e 58 44 32 5f 44 55 41 4c 4c 53 46 4c 49 58 20 20 20 30 09 2f 2a 20 43 6f 6e 6e 58 20 44 32 20 NXD2_DUALLSFLIX...0./*.ConnX.D2.
c83e0 26 20 44 75 61 6c 20 4c 6f 61 64 53 74 6f 72 65 20 46 6c 69 78 20 2a 2f 0a 23 64 65 66 69 6e 65 &.Dual.LoadStore.Flix.*/.#define
c8400 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 42 45 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 42 42 .XCHAL_HAVE_BBE16..0./*.ConnX.BB
c8420 45 31 36 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 42 E16.pkg.*/.#define.XCHAL_HAVE_BB
c8440 45 31 36 5f 52 53 51 52 54 09 09 30 09 2f 2a 20 42 42 45 31 36 20 26 20 76 65 63 74 6f 72 20 72 E16_RSQRT..0./*.BBE16.&.vector.r
c8460 65 63 69 70 20 73 71 72 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f ecip.sqrt.*/.#define.XCHAL_HAVE_
c8480 42 42 45 31 36 5f 56 45 43 44 49 56 09 09 30 09 2f 2a 20 42 42 45 31 36 20 26 20 76 65 63 74 6f BBE16_VECDIV..0./*.BBE16.&.vecto
c84a0 72 20 64 69 76 69 64 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 r.divide.*/.#define.XCHAL_HAVE_B
c84c0 42 45 31 36 5f 44 45 53 50 52 45 41 44 09 30 09 2f 2a 20 42 42 45 31 36 20 26 20 64 65 73 70 72 BE16_DESPREAD.0./*.BBE16.&.despr
c84e0 65 61 64 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 42 45 4e 45 50 ead.*/.#define.XCHAL_HAVE_BBENEP
c8500 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 42 42 45 4e 45 50 20 70 6b 67 73 20 2a 2f 0a 23 64 65 66 ..0./*.ConnX.BBENEP.pkgs.*/.#def
c8520 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 53 50 33 09 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 ine.XCHAL_HAVE_BSP3...0./*.ConnX
c8540 20 42 53 50 33 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f .BSP3.pkg.*/.#define.XCHAL_HAVE_
c8560 42 53 50 33 5f 54 52 41 4e 53 50 4f 53 45 09 30 09 2f 2a 20 42 53 50 33 20 26 20 74 72 61 6e 73 BSP3_TRANSPOSE.0./*.BSP3.&.trans
c8580 70 6f 73 65 33 32 78 33 32 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f pose32x32.*/.#define.XCHAL_HAVE_
c85a0 53 53 50 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 53 53 50 31 36 20 70 6b 67 20 2a 2f 0a 23 SSP16..0./*.ConnX.SSP16.pkg.*/.#
c85c0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 53 50 31 36 5f 56 49 54 45 52 42 49 09 define.XCHAL_HAVE_SSP16_VITERBI.
c85e0 30 09 2f 2a 20 53 53 50 31 36 20 26 20 76 69 74 65 72 62 69 20 2a 2f 0a 23 64 65 66 69 6e 65 20 0./*.SSP16.&.viterbi.*/.#define.
c8600 58 43 48 41 4c 5f 48 41 56 45 5f 54 55 52 42 4f 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 54 XCHAL_HAVE_TURBO16..0./*.ConnX.T
c8620 75 72 62 6f 31 36 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 urbo16.pkg.*/.#define.XCHAL_HAVE
c8640 5f 42 42 50 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 42 42 50 31 36 20 70 6b 67 20 2a 2f 0a _BBP16..0./*.ConnX.BBP16.pkg.*/.
c8660 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 4c 49 58 33 09 09 30 09 2f 2a 20 62 #define.XCHAL_HAVE_FLIX3..0./*.b
c8680 61 73 69 63 20 33 2d 77 61 79 20 46 4c 49 58 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e asic.3-way.FLIX.option.*/.#defin
c86a0 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 47 52 49 56 50 45 50 20 20 20 20 20 20 20 20 20 20 20 20 e.XCHAL_HAVE_GRIVPEP............
c86c0 20 20 30 20 20 20 2f 2a 20 20 47 52 49 56 50 45 50 20 69 73 20 47 65 6e 65 72 61 6c 20 52 65 6c ..0.../*..GRIVPEP.is.General.Rel
c86e0 65 61 73 65 20 6f 66 20 49 56 50 45 50 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 ease.of.IVPEP.*/.#define.XCHAL_H
c8700 41 56 45 5f 47 52 49 56 50 45 50 5f 48 49 53 54 4f 47 52 41 4d 20 20 20 20 30 20 20 20 2f 2a 20 AVE_GRIVPEP_HISTOGRAM....0.../*.
c8720 48 69 73 74 6f 67 72 61 6d 20 6f 70 74 69 6f 6e 20 6f 6e 20 47 52 49 56 50 45 50 20 2a 2f 0a 0a Histogram.option.on.GRIVPEP.*/..
c8740 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ./*-----------------------------
c8760 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c8780 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 4d 49 53 43 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ---------.....MISC...-----------
c87a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c87c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 ---------------------------*/..#
c87e0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 4c 4f 41 44 53 54 4f 52 45 5f 55 4e 49 54 53 define.XCHAL_NUM_LOADSTORE_UNITS
c8800 09 31 09 2f 2a 20 6c 6f 61 64 2f 73 74 6f 72 65 20 75 6e 69 74 73 20 2a 2f 0a 23 64 65 66 69 6e .1./*.load/store.units.*/.#defin
c8820 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 57 52 49 54 45 42 55 46 46 45 52 5f 45 4e 54 52 49 45 53 09 e.XCHAL_NUM_WRITEBUFFER_ENTRIES.
c8840 38 09 2f 2a 20 73 69 7a 65 20 6f 66 20 77 72 69 74 65 20 62 75 66 66 65 72 20 2a 2f 0a 23 64 65 8./*.size.of.write.buffer.*/.#de
c8860 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 53 54 5f 46 45 54 43 48 5f 57 49 44 54 48 09 09 34 09 2f fine.XCHAL_INST_FETCH_WIDTH..4./
c8880 2a 20 69 6e 73 74 72 2d 66 65 74 63 68 20 77 69 64 74 68 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a *.instr-fetch.width.in.bytes.*/.
c88a0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 41 54 41 5f 57 49 44 54 48 09 09 34 09 2f 2a 20 64 #define.XCHAL_DATA_WIDTH..4./*.d
c88c0 61 74 61 20 77 69 64 74 68 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 ata.width.in.bytes.*/.#define.XC
c88e0 48 41 4c 5f 44 41 54 41 5f 50 49 50 45 5f 44 45 4c 41 59 09 09 31 09 2f 2a 20 64 2d 73 69 64 65 HAL_DATA_PIPE_DELAY..1./*.d-side
c8900 20 70 69 70 65 6c 69 6e 65 20 64 65 6c 61 79 0a 09 09 09 09 09 09 20 20 20 28 31 20 3d 20 35 2d .pipeline.delay..........(1.=.5-
c8920 73 74 61 67 65 2c 20 32 20 3d 20 37 2d 73 74 61 67 65 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 stage,.2.=.7-stage).*/.#define.X
c8940 43 48 41 4c 5f 43 4c 4f 43 4b 5f 47 41 54 49 4e 47 5f 47 4c 4f 42 41 4c 09 31 09 2f 2a 20 67 6c CHAL_CLOCK_GATING_GLOBAL.1./*.gl
c8960 6f 62 61 6c 20 63 6c 6f 63 6b 20 67 61 74 69 6e 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 obal.clock.gating.*/.#define.XCH
c8980 41 4c 5f 43 4c 4f 43 4b 5f 47 41 54 49 4e 47 5f 46 55 4e 43 55 4e 49 54 09 31 09 2f 2a 20 66 75 AL_CLOCK_GATING_FUNCUNIT.1./*.fu
c89a0 6e 63 74 2e 20 75 6e 69 74 20 63 6c 6f 63 6b 20 67 61 74 69 6e 67 20 2a 2f 0a 2f 2a 20 20 49 6e nct..unit.clock.gating.*/./*..In
c89c0 20 54 31 30 35 30 2c 20 61 70 70 6c 69 65 73 20 74 6f 20 73 65 6c 65 63 74 65 64 20 63 6f 72 65 .T1050,.applies.to.selected.core
c89e0 20 6c 6f 61 64 20 61 6e 64 20 73 74 6f 72 65 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 28 73 65 .load.and.store.instructions.(se
c8a00 65 20 49 53 41 29 3a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 4e 41 4c 49 47 4e e.ISA):.*/.#define.XCHAL_UNALIGN
c8a20 45 44 5f 4c 4f 41 44 5f 45 58 43 45 50 54 49 4f 4e 09 31 09 2f 2a 20 75 6e 61 6c 69 67 6e 65 64 ED_LOAD_EXCEPTION.1./*.unaligned
c8a40 20 6c 6f 61 64 73 20 63 61 75 73 65 20 65 78 63 2e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 .loads.cause.exc..*/.#define.XCH
c8a60 41 4c 5f 55 4e 41 4c 49 47 4e 45 44 5f 53 54 4f 52 45 5f 45 58 43 45 50 54 49 4f 4e 09 31 09 2f AL_UNALIGNED_STORE_EXCEPTION.1./
c8a80 2a 20 75 6e 61 6c 69 67 6e 65 64 20 73 74 6f 72 65 73 20 63 61 75 73 65 20 65 78 63 2e 2a 2f 0a *.unaligned.stores.cause.exc.*/.
c8aa0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 4e 41 4c 49 47 4e 45 44 5f 4c 4f 41 44 5f 48 57 09 #define.XCHAL_UNALIGNED_LOAD_HW.
c8ac0 09 30 09 2f 2a 20 75 6e 61 6c 69 67 6e 65 64 20 6c 6f 61 64 73 20 77 6f 72 6b 20 69 6e 20 68 77 .0./*.unaligned.loads.work.in.hw
c8ae0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 4e 41 4c 49 47 4e 45 44 5f 53 54 4f 52 .*/.#define.XCHAL_UNALIGNED_STOR
c8b00 45 5f 48 57 09 30 09 2f 2a 20 75 6e 61 6c 69 67 6e 65 64 20 73 74 6f 72 65 73 20 77 6f 72 6b 20 E_HW.0./*.unaligned.stores.work.
c8b20 69 6e 20 68 77 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 53 57 5f 56 45 52 53 49 4f in.hw*/..#define.XCHAL_SW_VERSIO
c8b40 4e 09 09 31 31 30 30 30 30 34 09 2f 2a 20 73 77 20 76 65 72 73 69 6f 6e 20 6f 66 20 74 68 69 73 N..1100004./*.sw.version.of.this
c8b60 20 68 65 61 64 65 72 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 4f 52 45 5f 49 .header.*/..#define.XCHAL_CORE_I
c8b80 44 09 09 09 22 44 43 5f 32 33 33 4c 5f 63 61 6c 6c 30 22 09 2f 2a 20 61 6c 70 68 61 6e 75 6d 20 D..."DC_233L_call0"./*.alphanum.
c8ba0 63 6f 72 65 20 6e 61 6d 65 0a 09 09 09 09 09 09 20 20 20 28 43 6f 72 65 49 44 29 20 73 65 74 20 core.name..........(CoreID).set.
c8bc0 69 6e 20 74 68 65 20 58 74 65 6e 73 61 0a 09 09 09 09 09 09 20 20 20 50 72 6f 63 65 73 73 6f 72 in.the.Xtensa..........Processor
c8be0 20 47 65 6e 65 72 61 74 6f 72 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 42 55 49 .Generator.*/..#define.XCHAL_BUI
c8c00 4c 44 5f 55 4e 49 51 55 45 5f 49 44 09 09 30 78 30 30 30 33 35 35 32 31 09 2f 2a 20 32 32 2d 62 LD_UNIQUE_ID..0x00035521./*.22-b
c8c20 69 74 20 73 77 20 62 75 69 6c 64 20 49 44 20 2a 2f 0a 0a 2f 2a 0a 20 2a 20 20 54 68 65 73 65 20 it.sw.build.ID.*/../*..*..These.
c8c40 64 65 66 69 6e 69 74 69 6f 6e 73 20 64 65 73 63 72 69 62 65 20 74 68 65 20 68 61 72 64 77 61 72 definitions.describe.the.hardwar
c8c60 65 20 74 61 72 67 65 74 65 64 20 62 79 20 74 68 69 73 20 73 6f 66 74 77 61 72 65 2e 0a 20 2a 2f e.targeted.by.this.software...*/
c8c80 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 43 4f 4e 46 49 47 49 44 30 09 09 30 78 43 .#define.XCHAL_HW_CONFIGID0..0xC
c8ca0 35 36 37 30 37 46 45 09 2f 2a 20 43 6f 6e 66 69 67 49 44 20 68 69 20 33 32 20 62 69 74 73 2a 2f 56707FE./*.ConfigID.hi.32.bits*/
c8cc0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 43 4f 4e 46 49 47 49 44 31 09 09 30 78 31 .#define.XCHAL_HW_CONFIGID1..0x1
c8ce0 34 30 30 42 45 45 46 09 2f 2a 20 43 6f 6e 66 69 67 49 44 20 6c 6f 20 33 32 20 62 69 74 73 2a 2f 400BEEF./*.ConfigID.lo.32.bits*/
c8d00 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f 4e 5f 4e 41 4d 45 09 09 .#define.XCHAL_HW_VERSION_NAME..
c8d20 22 4c 58 36 2e 30 2e 34 22 09 2f 2a 20 66 75 6c 6c 20 76 65 72 73 69 6f 6e 20 6e 61 6d 65 20 2a "LX6.0.4"./*.full.version.name.*
c8d40 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f 4e 5f 4d 41 4a 4f 52 /.#define.XCHAL_HW_VERSION_MAJOR
c8d60 09 09 32 36 30 30 09 2f 2a 20 6d 61 6a 6f 72 20 76 65 72 23 20 6f 66 20 74 61 72 67 65 74 65 64 ..2600./*.major.ver#.of.targeted
c8d80 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f 4e 5f .hw.*/.#define.XCHAL_HW_VERSION_
c8da0 4d 49 4e 4f 52 09 09 34 09 2f 2a 20 6d 69 6e 6f 72 20 76 65 72 23 20 6f 66 20 74 61 72 67 65 74 MINOR..4./*.minor.ver#.of.target
c8dc0 65 64 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f ed.hw.*/.#define.XCHAL_HW_VERSIO
c8de0 4e 09 09 32 36 30 30 30 34 09 2f 2a 20 6d 61 6a 6f 72 2a 31 30 30 2b 6d 69 6e 6f 72 20 2a 2f 0a N..260004./*.major*100+minor.*/.
c8e00 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 52 45 4c 5f 4c 58 36 09 09 31 0a 23 64 65 66 #define.XCHAL_HW_REL_LX6..1.#def
c8e20 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 52 45 4c 5f 4c 58 36 5f 30 09 09 31 0a 23 64 65 66 69 6e ine.XCHAL_HW_REL_LX6_0..1.#defin
c8e40 65 20 58 43 48 41 4c 5f 48 57 5f 52 45 4c 5f 4c 58 36 5f 30 5f 34 09 09 31 0a 23 64 65 66 69 6e e.XCHAL_HW_REL_LX6_0_4..1.#defin
c8e60 65 20 58 43 48 41 4c 5f 48 57 5f 43 4f 4e 46 49 47 49 44 5f 52 45 4c 49 41 42 4c 45 09 31 0a 2f e.XCHAL_HW_CONFIGID_RELIABLE.1./
c8e80 2a 20 20 49 66 20 73 6f 66 74 77 61 72 65 20 74 61 72 67 65 74 73 20 61 20 2a 72 61 6e 67 65 2a *..If.software.targets.a.*range*
c8ea0 20 6f 66 20 68 61 72 64 77 61 72 65 20 76 65 72 73 69 6f 6e 73 2c 20 74 68 65 73 65 20 61 72 65 .of.hardware.versions,.these.are
c8ec0 20 74 68 65 20 62 6f 75 6e 64 73 3a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 .the.bounds:.*/.#define.XCHAL_HW
c8ee0 5f 4d 49 4e 5f 56 45 52 53 49 4f 4e 5f 4d 41 4a 4f 52 09 32 36 30 30 09 2f 2a 20 6d 61 6a 6f 72 _MIN_VERSION_MAJOR.2600./*.major
c8f00 20 76 20 6f 66 20 65 61 72 6c 69 65 73 74 20 74 67 74 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 .v.of.earliest.tgt.hw.*/.#define
c8f20 20 58 43 48 41 4c 5f 48 57 5f 4d 49 4e 5f 56 45 52 53 49 4f 4e 5f 4d 49 4e 4f 52 09 34 09 2f 2a .XCHAL_HW_MIN_VERSION_MINOR.4./*
c8f40 20 6d 69 6e 6f 72 20 76 20 6f 66 20 65 61 72 6c 69 65 73 74 20 74 67 74 20 68 77 20 2a 2f 0a 23 .minor.v.of.earliest.tgt.hw.*/.#
c8f60 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 49 4e 5f 56 45 52 53 49 4f 4e 09 09 32 36 30 define.XCHAL_HW_MIN_VERSION..260
c8f80 30 30 34 09 2f 2a 20 65 61 72 6c 69 65 73 74 20 74 61 72 67 65 74 65 64 20 68 77 20 2a 2f 0a 23 004./*.earliest.targeted.hw.*/.#
c8fa0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 41 58 5f 56 45 52 53 49 4f 4e 5f 4d 41 4a 4f define.XCHAL_HW_MAX_VERSION_MAJO
c8fc0 52 09 32 36 30 30 09 2f 2a 20 6d 61 6a 6f 72 20 76 20 6f 66 20 6c 61 74 65 73 74 20 74 67 74 20 R.2600./*.major.v.of.latest.tgt.
c8fe0 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 41 58 5f 56 45 52 53 49 hw.*/.#define.XCHAL_HW_MAX_VERSI
c9000 4f 4e 5f 4d 49 4e 4f 52 09 34 09 2f 2a 20 6d 69 6e 6f 72 20 76 20 6f 66 20 6c 61 74 65 73 74 20 ON_MINOR.4./*.minor.v.of.latest.
c9020 74 67 74 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 41 58 5f 56 tgt.hw.*/.#define.XCHAL_HW_MAX_V
c9040 45 52 53 49 4f 4e 09 09 32 36 30 30 30 34 09 2f 2a 20 6c 61 74 65 73 74 20 74 61 72 67 65 74 65 ERSION..260004./*.latest.targete
c9060 64 20 68 77 20 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d d.hw.*/.../*--------------------
c9080 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c90a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 43 41 43 48 45 0a 20 20 2d ------------------.....CACHE...-
c90c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c90e0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c9100 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 -----*/..#define.XCHAL_ICACHE_LI
c9120 4e 45 53 49 5a 45 09 09 33 32 09 2f 2a 20 49 2d 63 61 63 68 65 20 6c 69 6e 65 20 73 69 7a 65 20 NESIZE..32./*.I-cache.line.size.
c9140 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 in.bytes.*/.#define.XCHAL_DCACHE
c9160 5f 4c 49 4e 45 53 49 5a 45 09 09 33 32 09 2f 2a 20 44 2d 63 61 63 68 65 20 6c 69 6e 65 20 73 69 _LINESIZE..32./*.D-cache.line.si
c9180 7a 65 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 ze.in.bytes.*/.#define.XCHAL_ICA
c91a0 43 48 45 5f 4c 49 4e 45 57 49 44 54 48 09 09 35 09 2f 2a 20 6c 6f 67 32 28 49 20 6c 69 6e 65 20 CHE_LINEWIDTH..5./*.log2(I.line.
c91c0 73 69 7a 65 20 69 6e 20 62 79 74 65 73 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f size.in.bytes).*/.#define.XCHAL_
c91e0 44 43 41 43 48 45 5f 4c 49 4e 45 57 49 44 54 48 09 09 35 09 2f 2a 20 6c 6f 67 32 28 44 20 6c 69 DCACHE_LINEWIDTH..5./*.log2(D.li
c9200 6e 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 29 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 ne.size.in.bytes).*/..#define.XC
c9220 48 41 4c 5f 49 43 41 43 48 45 5f 53 49 5a 45 09 09 31 36 33 38 34 09 2f 2a 20 49 2d 63 61 63 68 HAL_ICACHE_SIZE..16384./*.I-cach
c9240 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 6f 72 20 30 20 2a 2f 0a 23 64 65 66 69 6e 65 20 e.size.in.bytes.or.0.*/.#define.
c9260 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 53 49 5a 45 09 09 31 36 33 38 34 09 2f 2a 20 44 2d 63 61 XCHAL_DCACHE_SIZE..16384./*.D-ca
c9280 63 68 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 6f 72 20 30 20 2a 2f 0a 0a 23 64 65 66 69 che.size.in.bytes.or.0.*/..#defi
c92a0 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 49 53 5f 57 52 49 54 45 42 41 43 4b 09 31 09 2f ne.XCHAL_DCACHE_IS_WRITEBACK.1./
c92c0 2a 20 77 72 69 74 65 62 61 63 6b 20 66 65 61 74 75 72 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 *.writeback.feature.*/.#define.X
c92e0 43 48 41 4c 5f 44 43 41 43 48 45 5f 49 53 5f 43 4f 48 45 52 45 4e 54 09 30 09 2f 2a 20 4d 50 20 CHAL_DCACHE_IS_COHERENT.0./*.MP.
c9300 63 6f 68 65 72 65 6e 63 65 20 66 65 61 74 75 72 65 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 coherence.feature.*/..#define.XC
c9320 48 41 4c 5f 48 41 56 45 5f 50 52 45 46 45 54 43 48 09 09 30 09 2f 2a 20 50 52 45 46 43 54 4c 20 HAL_HAVE_PREFETCH..0./*.PREFCTL.
c9340 72 65 67 69 73 74 65 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 register.*/.#define.XCHAL_HAVE_P
c9360 52 45 46 45 54 43 48 5f 4c 31 09 09 30 09 2f 2a 20 70 72 65 66 65 74 63 68 20 74 6f 20 4c 31 20 REFETCH_L1..0./*.prefetch.to.L1.
c9380 64 63 61 63 68 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 50 52 45 46 45 54 43 48 dcache.*/.#define.XCHAL_PREFETCH
c93a0 5f 43 41 53 54 4f 55 54 5f 4c 49 4e 45 53 09 30 09 2f 2a 20 64 63 61 63 68 65 20 70 72 65 66 2e _CASTOUT_LINES.0./*.dcache.pref.
c93c0 20 63 61 73 74 6f 75 74 20 62 75 66 73 7a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f .castout.bufsz.*/.#define.XCHAL_
c93e0 50 52 45 46 45 54 43 48 5f 45 4e 54 52 49 45 53 09 09 30 09 2f 2a 20 63 61 63 68 65 20 70 72 65 PREFETCH_ENTRIES..0./*.cache.pre
c9400 66 65 74 63 68 20 65 6e 74 72 69 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 50 fetch.entries.*/.#define.XCHAL_P
c9420 52 45 46 45 54 43 48 5f 42 4c 4f 43 4b 5f 45 4e 54 52 49 45 53 09 30 09 2f 2a 20 70 72 65 66 65 REFETCH_BLOCK_ENTRIES.0./*.prefe
c9440 74 63 68 20 62 6c 6f 63 6b 20 73 74 72 65 61 6d 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 tch.block.streams.*/.#define.XCH
c9460 41 4c 5f 48 41 56 45 5f 43 41 43 48 45 5f 42 4c 4f 43 4b 4f 50 53 09 30 09 2f 2a 20 62 6c 6f 63 AL_HAVE_CACHE_BLOCKOPS.0./*.bloc
c9480 6b 20 70 72 65 66 65 74 63 68 20 66 6f 72 20 63 61 63 68 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 k.prefetch.for.caches.*/.#define
c94a0 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 43 41 43 48 45 5f 54 45 53 54 09 09 31 09 2f 2a 20 49 63 .XCHAL_HAVE_ICACHE_TEST..1./*.Ic
c94c0 61 63 68 65 20 74 65 73 74 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e ache.test.instructions.*/.#defin
c94e0 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 43 41 43 48 45 5f 54 45 53 54 09 09 31 09 2f 2a 20 44 e.XCHAL_HAVE_DCACHE_TEST..1./*.D
c9500 63 61 63 68 65 20 74 65 73 74 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 cache.test.instructions.*/.#defi
c9520 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 43 41 43 48 45 5f 44 59 4e 5f 57 41 59 53 09 30 09 ne.XCHAL_HAVE_ICACHE_DYN_WAYS.0.
c9540 2f 2a 20 49 63 61 63 68 65 20 64 79 6e 61 6d 69 63 20 77 61 79 20 73 75 70 70 6f 72 74 20 2a 2f /*.Icache.dynamic.way.support.*/
c9560 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 43 41 43 48 45 5f 44 59 4e 5f 57 .#define.XCHAL_HAVE_DCACHE_DYN_W
c9580 41 59 53 09 30 09 2f 2a 20 44 63 61 63 68 65 20 64 79 6e 61 6d 69 63 20 77 61 79 20 73 75 70 70 AYS.0./*.Dcache.dynamic.way.supp
c95a0 6f 72 74 20 2a 2f 0a 0a 0a 0a 0a 2f 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ort.*/...../********************
c95c0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
c95e0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 0a 20 20 20 20 50 61 72 ************************.....Par
c9600 61 6d 65 74 65 72 73 20 55 73 65 66 75 6c 20 66 6f 72 20 50 52 49 56 49 4c 45 47 45 44 20 28 53 ameters.Useful.for.PRIVILEGED.(S
c9620 75 70 65 72 76 69 73 6f 72 79 20 6f 72 20 4e 6f 6e 2d 56 69 72 74 75 61 6c 69 7a 65 64 29 20 43 upervisory.or.Non-Virtualized).C
c9640 6f 64 65 0a 20 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ode..***************************
c9660 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
c9680 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2f 0a 0a 0a 23 69 66 6e 64 65 66 20 58 54 45 *****************/...#ifndef.XTE
c96a0 4e 53 41 5f 48 41 4c 5f 4e 4f 4e 5f 50 52 49 56 49 4c 45 47 45 44 5f 4f 4e 4c 59 0a 0a 2f 2a 2d NSA_HAL_NON_PRIVILEGED_ONLY../*-
c96c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c96e0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c9700 2d 2d 2d 2d 2d 0a 09 09 09 09 43 41 43 48 45 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d -----.....CACHE...--------------
c9720 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c9740 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 64 65 66 ------------------------*/..#def
c9760 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 49 46 09 09 09 31 09 2f 2a 20 61 6e 79 20 6f 75 ine.XCHAL_HAVE_PIF...1./*.any.ou
c9780 74 62 6f 75 6e 64 20 50 49 46 20 70 72 65 73 65 6e 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 tbound.PIF.present.*/.#define.XC
c97a0 48 41 4c 5f 48 41 56 45 5f 41 58 49 09 09 09 30 09 2f 2a 20 41 58 49 20 62 75 73 20 2a 2f 0a 0a HAL_HAVE_AXI...0./*.AXI.bus.*/..
c97c0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 49 46 5f 57 52 5f 52 45 53 50 09 09 #define.XCHAL_HAVE_PIF_WR_RESP..
c97e0 09 30 09 2f 2a 20 70 69 66 20 77 72 69 74 65 20 72 65 73 70 6f 6e 73 65 20 2a 2f 0a 23 64 65 66 .0./*.pif.write.response.*/.#def
c9800 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 49 46 5f 52 45 51 5f 41 54 54 52 09 09 09 30 09 ine.XCHAL_HAVE_PIF_REQ_ATTR...0.
c9820 2f 2a 20 70 69 66 20 61 74 74 72 69 62 75 74 65 20 2a 2f 0a 0a 2f 2a 20 20 49 66 20 70 72 65 73 /*.pif.attribute.*/../*..If.pres
c9840 65 6e 74 2c 20 63 61 63 68 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 3d 3d 20 28 77 61 79 ent,.cache.size.in.bytes.==.(way
c9860 73 20 2a 20 32 5e 28 6c 69 6e 65 77 69 64 74 68 20 2b 20 73 65 74 77 69 64 74 68 29 29 2e 20 20 s.*.2^(linewidth.+.setwidth))...
c9880 2a 2f 0a 0a 2f 2a 20 20 4e 75 6d 62 65 72 20 6f 66 20 63 61 63 68 65 20 73 65 74 73 20 69 6e 20 */../*..Number.of.cache.sets.in.
c98a0 6c 6f 67 32 28 6c 69 6e 65 73 20 70 65 72 20 77 61 79 29 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 log2(lines.per.way):..*/.#define
c98c0 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 53 45 54 57 49 44 54 48 09 09 37 0a 23 64 65 66 69 6e .XCHAL_ICACHE_SETWIDTH..7.#defin
c98e0 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 53 45 54 57 49 44 54 48 09 09 37 0a 0a 2f 2a 20 20 e.XCHAL_DCACHE_SETWIDTH..7../*..
c9900 43 61 63 68 65 20 73 65 74 20 61 73 73 6f 63 69 61 74 69 76 69 74 79 20 28 6e 75 6d 62 65 72 20 Cache.set.associativity.(number.
c9920 6f 66 20 77 61 79 73 29 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 of.ways):..*/.#define.XCHAL_ICAC
c9940 48 45 5f 57 41 59 53 09 09 34 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f HE_WAYS..4.#define.XCHAL_DCACHE_
c9960 57 41 59 53 09 09 34 0a 0a 2f 2a 20 20 43 61 63 68 65 20 66 65 61 74 75 72 65 73 3a 20 20 2a 2f WAYS..4../*..Cache.features:..*/
c9980 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 4e 45 5f 4c 4f 43 4b 41 .#define.XCHAL_ICACHE_LINE_LOCKA
c99a0 42 4c 45 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c 49 4e 45 5f BLE.1.#define.XCHAL_DCACHE_LINE_
c99c0 4c 4f 43 4b 41 42 4c 45 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f LOCKABLE.1.#define.XCHAL_ICACHE_
c99e0 45 43 43 5f 50 41 52 49 54 59 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 ECC_PARITY..0.#define.XCHAL_DCAC
c9a00 48 45 5f 45 43 43 5f 50 41 52 49 54 59 09 09 30 0a 0a 2f 2a 20 20 43 61 63 68 65 20 61 63 63 65 HE_ECC_PARITY..0../*..Cache.acce
c9a20 73 73 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 28 61 66 66 65 63 74 73 20 6f 70 65 72 61 74 ss.size.in.bytes.(affects.operat
c9a40 69 6f 6e 20 6f 66 20 53 49 43 57 20 69 6e 73 74 72 75 63 74 69 6f 6e 29 3a 20 20 2a 2f 0a 23 64 ion.of.SICW.instruction):..*/.#d
c9a60 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 41 43 43 45 53 53 5f 53 49 5a 45 09 34 efine.XCHAL_ICACHE_ACCESS_SIZE.4
c9a80 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 41 43 43 45 53 53 5f 53 49 5a .#define.XCHAL_DCACHE_ACCESS_SIZ
c9aa0 45 09 34 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 42 41 4e 4b 53 09 E.4..#define.XCHAL_DCACHE_BANKS.
c9ac0 09 31 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 62 61 6e 6b 73 20 2a 2f 0a 0a 2f 2a 20 20 4e 75 .1./*.number.of.banks.*/../*..Nu
c9ae0 6d 62 65 72 20 6f 66 20 65 6e 63 6f 64 65 64 20 63 61 63 68 65 20 61 74 74 72 20 62 69 74 73 20 mber.of.encoded.cache.attr.bits.
c9b00 28 73 65 65 20 3c 78 74 65 6e 73 61 2f 68 61 6c 2e 68 3e 20 66 6f 72 20 64 65 63 6f 64 65 64 20 (see.<xtensa/hal.h>.for.decoded.
c9b20 62 69 74 73 29 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 41 5f 42 49 54 53 bits):..*/.#define.XCHAL_CA_BITS
c9b40 09 09 09 34 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ...4.../*-----------------------
c9b60 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c9b80 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 49 4e 54 45 52 4e 41 4c 20 49 2f 44 20 ---------------....INTERNAL.I/D.
c9ba0 52 41 4d 2f 52 4f 4d 73 20 61 6e 64 20 58 4c 4d 49 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d RAM/ROMs.and.XLMI...------------
c9bc0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c9be0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 64 --------------------------*/..#d
c9c00 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 4e 53 54 52 4f 4d 09 09 30 09 2f 2a 20 6e 75 efine.XCHAL_NUM_INSTROM..0./*.nu
c9c20 6d 62 65 72 20 6f 66 20 63 6f 72 65 20 69 6e 73 74 72 2e 20 52 4f 4d 73 20 2a 2f 0a 23 64 65 66 mber.of.core.instr..ROMs.*/.#def
c9c40 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 4e 53 54 52 41 4d 09 09 30 09 2f 2a 20 6e 75 6d 62 ine.XCHAL_NUM_INSTRAM..0./*.numb
c9c60 65 72 20 6f 66 20 63 6f 72 65 20 69 6e 73 74 72 2e 20 52 41 4d 73 20 2a 2f 0a 23 64 65 66 69 6e er.of.core.instr..RAMs.*/.#defin
c9c80 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 44 41 54 41 52 4f 4d 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 e.XCHAL_NUM_DATAROM..0./*.number
c9ca0 20 6f 66 20 63 6f 72 65 20 64 61 74 61 20 52 4f 4d 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .of.core.data.ROMs.*/.#define.XC
c9cc0 48 41 4c 5f 4e 55 4d 5f 44 41 54 41 52 41 4d 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 HAL_NUM_DATARAM..0./*.number.of.
c9ce0 63 6f 72 65 20 64 61 74 61 20 52 41 4d 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f core.data.RAMs.*/.#define.XCHAL_
c9d00 4e 55 4d 5f 55 52 41 4d 09 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 63 6f 72 65 20 75 NUM_URAM...0./*.number.of.core.u
c9d20 6e 69 66 69 65 64 20 52 41 4d 73 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f nified.RAMs*/.#define.XCHAL_NUM_
c9d40 58 4c 4d 49 09 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 63 6f 72 65 20 58 4c 4d 49 20 XLMI...0./*.number.of.core.XLMI.
c9d60 70 6f 72 74 73 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 4d 45 ports.*/..#define.XCHAL_HAVE_IME
c9d80 4d 5f 4c 4f 41 44 53 54 4f 52 45 09 31 09 2f 2a 20 63 61 6e 20 6c 6f 61 64 2f 73 74 6f 72 65 20 M_LOADSTORE.1./*.can.load/store.
c9da0 74 6f 20 49 52 4f 4d 2f 49 52 41 4d 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d to.IROM/IRAM*/.../*-------------
c9dc0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c9de0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 49 4e 54 -------------------------....INT
c9e00 45 52 52 55 50 54 53 20 61 6e 64 20 54 49 4d 45 52 53 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ERRUPTS.and.TIMERS...-----------
c9e20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
c9e40 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 ---------------------------*/..#
c9e60 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 4e 54 45 52 52 55 50 54 53 09 09 31 09 define.XCHAL_HAVE_INTERRUPTS..1.
c9e80 2f 2a 20 69 6e 74 65 72 72 75 70 74 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 /*.interrupt.option.*/.#define.X
c9ea0 43 48 41 4c 5f 48 41 56 45 5f 48 49 47 48 50 52 49 5f 49 4e 54 45 52 52 55 50 54 53 09 31 09 2f CHAL_HAVE_HIGHPRI_INTERRUPTS.1./
c9ec0 2a 20 6d 65 64 2f 68 69 67 68 2d 70 72 69 2e 20 69 6e 74 65 72 72 75 70 74 73 20 2a 2f 0a 23 64 *.med/high-pri..interrupts.*/.#d
c9ee0 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 4d 49 09 09 09 31 09 2f 2a 20 6e 6f 6e 2d efine.XCHAL_HAVE_NMI...1./*.non-
c9f00 6d 61 73 6b 61 62 6c 65 20 69 6e 74 65 72 72 75 70 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 maskable.interrupt.*/.#define.XC
c9f20 48 41 4c 5f 48 41 56 45 5f 43 43 4f 55 4e 54 09 09 31 09 2f 2a 20 43 43 4f 55 4e 54 20 72 65 67 HAL_HAVE_CCOUNT..1./*.CCOUNT.reg
c9f40 2e 20 28 74 69 6d 65 72 20 6f 70 74 69 6f 6e 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ..(timer.option).*/.#define.XCHA
c9f60 4c 5f 4e 55 4d 5f 54 49 4d 45 52 53 09 09 33 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 43 43 4f L_NUM_TIMERS..3./*.number.of.CCO
c9f80 4d 50 41 52 45 6e 20 72 65 67 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d MPAREn.regs.*/.#define.XCHAL_NUM
c9fa0 5f 49 4e 54 45 52 52 55 50 54 53 09 09 32 32 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 69 6e 74 _INTERRUPTS..22./*.number.of.int
c9fc0 65 72 72 75 70 74 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 4e 54 errupts.*/.#define.XCHAL_NUM_INT
c9fe0 45 52 52 55 50 54 53 5f 4c 4f 47 32 09 35 09 2f 2a 20 63 65 69 6c 28 6c 6f 67 32 28 4e 55 4d 5f ERRUPTS_LOG2.5./*.ceil(log2(NUM_
ca000 49 4e 54 45 52 52 55 50 54 53 29 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 INTERRUPTS)).*/.#define.XCHAL_NU
ca020 4d 5f 45 58 54 49 4e 54 45 52 52 55 50 54 53 09 09 31 37 09 2f 2a 20 6e 75 6d 20 6f 66 20 65 78 M_EXTINTERRUPTS..17./*.num.of.ex
ca040 74 65 72 6e 61 6c 20 69 6e 74 65 72 72 75 70 74 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 ternal.interrupts.*/.#define.XCH
ca060 41 4c 5f 4e 55 4d 5f 49 4e 54 4c 45 56 45 4c 53 09 09 36 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 AL_NUM_INTLEVELS..6./*.number.of
ca080 20 69 6e 74 65 72 72 75 70 74 20 6c 65 76 65 6c 73 0a 09 09 09 09 09 09 20 20 20 28 6e 6f 74 20 .interrupt.levels..........(not.
ca0a0 69 6e 63 6c 75 64 69 6e 67 20 6c 65 76 65 6c 20 7a 65 72 6f 29 20 2a 2f 0a 23 64 65 66 69 6e 65 including.level.zero).*/.#define
ca0c0 20 58 43 48 41 4c 5f 45 58 43 4d 5f 4c 45 56 45 4c 09 09 33 09 2f 2a 20 6c 65 76 65 6c 20 6d 61 .XCHAL_EXCM_LEVEL..3./*.level.ma
ca0e0 73 6b 65 64 20 62 79 20 50 53 2e 45 58 43 4d 20 2a 2f 0a 09 2f 2a 20 28 61 6c 77 61 79 73 20 31 sked.by.PS.EXCM.*/../*.(always.1
ca100 20 69 6e 20 58 45 41 31 3b 20 6c 65 76 65 6c 73 20 32 20 2e 2e 20 45 58 43 4d 5f 4c 45 56 45 4c .in.XEA1;.levels.2....EXCM_LEVEL
ca120 20 61 72 65 20 22 6d 65 64 69 75 6d 20 70 72 69 6f 72 69 74 79 22 29 20 2a 2f 0a 0a 2f 2a 20 20 .are."medium.priority").*/../*..
ca140 4d 61 73 6b 73 20 6f 66 20 69 6e 74 65 72 72 75 70 74 73 20 61 74 20 65 61 63 68 20 69 6e 74 65 Masks.of.interrupts.at.each.inte
ca160 72 72 75 70 74 20 6c 65 76 65 6c 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 rrupt.level:..*/.#define.XCHAL_I
ca180 4e 54 4c 45 56 45 4c 31 5f 4d 41 53 4b 09 09 30 78 30 30 31 46 38 30 46 46 0a 23 64 65 66 69 6e NTLEVEL1_MASK..0x001F80FF.#defin
ca1a0 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 32 5f 4d 41 53 4b 09 09 30 78 30 30 30 30 30 31 e.XCHAL_INTLEVEL2_MASK..0x000001
ca1c0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 4d 41 53 4b 09 00.#define.XCHAL_INTLEVEL3_MASK.
ca1e0 09 30 78 30 30 32 30 30 45 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 .0x00200E00.#define.XCHAL_INTLEV
ca200 45 4c 34 5f 4d 41 53 4b 09 09 30 78 30 30 30 30 31 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 EL4_MASK..0x00001000.#define.XCH
ca220 41 4c 5f 49 4e 54 4c 45 56 45 4c 35 5f 4d 41 53 4b 09 09 30 78 30 30 30 30 32 30 30 30 0a 23 64 AL_INTLEVEL5_MASK..0x00002000.#d
ca240 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 4d 41 53 4b 09 09 30 78 30 30 efine.XCHAL_INTLEVEL6_MASK..0x00
ca260 30 30 30 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 4d 000000.#define.XCHAL_INTLEVEL7_M
ca280 41 53 4b 09 09 30 78 30 30 30 30 34 30 30 30 0a 0a 2f 2a 20 20 4d 61 73 6b 73 20 6f 66 20 69 6e ASK..0x00004000../*..Masks.of.in
ca2a0 74 65 72 72 75 70 74 73 20 61 74 20 65 61 63 68 20 72 61 6e 67 65 20 31 2e 2e 6e 20 6f 66 20 69 terrupts.at.each.range.1..n.of.i
ca2c0 6e 74 65 72 72 75 70 74 20 6c 65 76 65 6c 73 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 nterrupt.levels:..*/.#define.XCH
ca2e0 41 4c 5f 49 4e 54 4c 45 56 45 4c 31 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 31 AL_INTLEVEL1_ANDBELOW_MASK.0x001
ca300 46 38 30 46 46 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 32 5f 41 4e F80FF.#define.XCHAL_INTLEVEL2_AN
ca320 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 31 46 38 31 46 46 0a 23 64 65 66 69 6e 65 20 58 DBELOW_MASK.0x001F81FF.#define.X
ca340 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 CHAL_INTLEVEL3_ANDBELOW_MASK.0x0
ca360 30 33 46 38 46 46 46 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 34 5f 03F8FFF.#define.XCHAL_INTLEVEL4_
ca380 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 33 46 39 46 46 46 0a 23 64 65 66 69 6e 65 ANDBELOW_MASK.0x003F9FFF.#define
ca3a0 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 35 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 .XCHAL_INTLEVEL5_ANDBELOW_MASK.0
ca3c0 78 30 30 33 46 42 46 46 46 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c x003FBFFF.#define.XCHAL_INTLEVEL
ca3e0 36 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 33 46 42 46 46 46 0a 23 64 65 66 69 6_ANDBELOW_MASK.0x003FBFFF.#defi
ca400 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b ne.XCHAL_INTLEVEL7_ANDBELOW_MASK
ca420 09 30 78 30 30 33 46 46 46 46 46 0a 0a 2f 2a 20 20 4c 65 76 65 6c 20 6f 66 20 65 61 63 68 20 69 .0x003FFFFF../*..Level.of.each.i
ca440 6e 74 65 72 72 75 70 74 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 30 nterrupt:..*/.#define.XCHAL_INT0
ca460 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 5f 4c 45 56 _LEVEL..1.#define.XCHAL_INT1_LEV
ca480 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 5f 4c 45 56 45 4c 09 09 EL..1.#define.XCHAL_INT2_LEVEL..
ca4a0 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 33 5f 4c 45 56 45 4c 09 09 31 0a 23 64 1.#define.XCHAL_INT3_LEVEL..1.#d
ca4c0 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 34 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e efine.XCHAL_INT4_LEVEL..1.#defin
ca4e0 65 20 58 43 48 41 4c 5f 49 4e 54 35 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 e.XCHAL_INT5_LEVEL..1.#define.XC
ca500 48 41 4c 5f 49 4e 54 36 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f HAL_INT6_LEVEL..1.#define.XCHAL_
ca520 49 4e 54 37 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 38 INT7_LEVEL..1.#define.XCHAL_INT8
ca540 5f 4c 45 56 45 4c 09 09 32 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 39 5f 4c 45 56 _LEVEL..2.#define.XCHAL_INT9_LEV
ca560 45 4c 09 09 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 30 5f 4c 45 56 45 4c 09 EL..3.#define.XCHAL_INT10_LEVEL.
ca580 09 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 31 5f 4c 45 56 45 4c 09 09 33 0a .3.#define.XCHAL_INT11_LEVEL..3.
ca5a0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 32 5f 4c 45 56 45 4c 09 09 34 0a 23 64 65 #define.XCHAL_INT12_LEVEL..4.#de
ca5c0 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 33 5f 4c 45 56 45 4c 09 09 35 0a 23 64 65 66 69 6e fine.XCHAL_INT13_LEVEL..5.#defin
ca5e0 65 20 58 43 48 41 4c 5f 49 4e 54 31 34 5f 4c 45 56 45 4c 09 09 37 0a 23 64 65 66 69 6e 65 20 58 e.XCHAL_INT14_LEVEL..7.#define.X
ca600 43 48 41 4c 5f 49 4e 54 31 35 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 CHAL_INT15_LEVEL..1.#define.XCHA
ca620 4c 5f 49 4e 54 31 36 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 L_INT16_LEVEL..1.#define.XCHAL_I
ca640 4e 54 31 37 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 NT17_LEVEL..1.#define.XCHAL_INT1
ca660 38 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 39 5f 4c 8_LEVEL..1.#define.XCHAL_INT19_L
ca680 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 30 5f 4c 45 56 45 EVEL..1.#define.XCHAL_INT20_LEVE
ca6a0 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 31 5f 4c 45 56 45 4c 09 09 L..1.#define.XCHAL_INT21_LEVEL..
ca6c0 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 4c 45 56 45 4c 09 09 36 09 2f 2a 3.#define.XCHAL_DEBUGLEVEL..6./*
ca6e0 20 64 65 62 75 67 20 69 6e 74 65 72 72 75 70 74 20 6c 65 76 65 6c 20 2a 2f 0a 23 64 65 66 69 6e .debug.interrupt.level.*/.#defin
ca700 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 42 55 47 5f 45 58 54 45 52 4e 5f 49 4e 54 09 31 09 e.XCHAL_HAVE_DEBUG_EXTERN_INT.1.
ca720 2f 2a 20 4f 43 44 20 65 78 74 65 72 6e 61 6c 20 64 62 20 69 6e 74 65 72 72 75 70 74 20 2a 2f 0a /*.OCD.external.db.interrupt.*/.
ca740 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 4c 45 56 45 4c 09 09 09 37 09 2f 2a 20 4e 4d #define.XCHAL_NMILEVEL...7./*.NM
ca760 49 20 22 6c 65 76 65 6c 22 20 28 66 6f 72 20 75 73 65 20 77 69 74 68 0a 09 09 09 09 09 09 20 20 I."level".(for.use.with.........
ca780 20 45 58 43 53 41 56 45 2f 45 50 53 2f 45 50 43 5f 6e 2c 20 52 46 49 20 6e 29 20 2a 2f 0a 0a 2f .EXCSAVE/EPS/EPC_n,.RFI.n).*/../
ca7a0 2a 20 20 54 79 70 65 20 6f 66 20 65 61 63 68 20 69 6e 74 65 72 72 75 70 74 3a 20 20 2a 2f 0a 23 *..Type.of.each.interrupt:..*/.#
ca7c0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 30 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e define.XCHAL_INT0_TYPE..XTHAL_IN
ca7e0 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c TTYPE_EXTERN_LEVEL.#define.XCHAL
ca800 5f 49 4e 54 31 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e _INT1_TYPE..XTHAL_INTTYPE_EXTERN
ca820 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 5f 54 59 50 45 20 09 _LEVEL.#define.XCHAL_INT2_TYPE..
ca840 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 XTHAL_INTTYPE_EXTERN_LEVEL.#defi
ca860 6e 65 20 58 43 48 41 4c 5f 49 4e 54 33 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 ne.XCHAL_INT3_TYPE..XTHAL_INTTYP
ca880 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 E_EXTERN_LEVEL.#define.XCHAL_INT
ca8a0 34 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 4_TYPE..XTHAL_INTTYPE_EXTERN_LEV
ca8c0 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 35 5f 54 59 50 45 20 09 58 54 48 41 EL.#define.XCHAL_INT5_TYPE..XTHA
ca8e0 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 L_INTTYPE_EXTERN_LEVEL.#define.X
ca900 43 48 41 4c 5f 49 4e 54 36 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 54 49 CHAL_INT6_TYPE..XTHAL_INTTYPE_TI
ca920 4d 45 52 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 37 5f 54 59 50 45 20 09 58 54 48 MER.#define.XCHAL_INT7_TYPE..XTH
ca940 41 4c 5f 49 4e 54 54 59 50 45 5f 53 4f 46 54 57 41 52 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 AL_INTTYPE_SOFTWARE.#define.XCHA
ca960 4c 5f 49 4e 54 38 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 L_INT8_TYPE..XTHAL_INTTYPE_EXTER
ca980 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 39 5f 54 59 50 45 20 N_LEVEL.#define.XCHAL_INT9_TYPE.
ca9a0 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 .XTHAL_INTTYPE_EXTERN_LEVEL.#def
ca9c0 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 30 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 ine.XCHAL_INT10_TYPE..XTHAL_INTT
ca9e0 59 50 45 5f 54 49 4d 45 52 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 31 5f 54 59 YPE_TIMER.#define.XCHAL_INT11_TY
caa00 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 53 4f 46 54 57 41 52 45 0a 23 64 65 66 69 PE..XTHAL_INTTYPE_SOFTWARE.#defi
caa20 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 32 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 ne.XCHAL_INT12_TYPE..XTHAL_INTTY
caa40 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e PE_EXTERN_LEVEL.#define.XCHAL_IN
caa60 54 31 33 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 54 49 4d 45 52 0a 23 64 T13_TYPE..XTHAL_INTTYPE_TIMER.#d
caa80 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 34 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e efine.XCHAL_INT14_TYPE..XTHAL_IN
caaa0 54 54 59 50 45 5f 4e 4d 49 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 35 5f 54 59 TTYPE_NMI.#define.XCHAL_INT15_TY
caac0 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 PE..XTHAL_INTTYPE_EXTERN_EDGE.#d
caae0 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 36 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e efine.XCHAL_INT16_TYPE..XTHAL_IN
cab00 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f TTYPE_EXTERN_EDGE.#define.XCHAL_
cab20 49 4e 54 31 37 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e INT17_TYPE..XTHAL_INTTYPE_EXTERN
cab40 5f 45 44 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 38 5f 54 59 50 45 20 09 _EDGE.#define.XCHAL_INT18_TYPE..
cab60 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 65 66 69 6e XTHAL_INTTYPE_EXTERN_EDGE.#defin
cab80 65 20 58 43 48 41 4c 5f 49 4e 54 31 39 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 e.XCHAL_INT19_TYPE..XTHAL_INTTYP
caba0 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 E_EXTERN_EDGE.#define.XCHAL_INT2
cabc0 30 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 0_TYPE..XTHAL_INTTYPE_EXTERN_EDG
cabe0 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 31 5f 54 59 50 45 20 09 58 54 48 41 E.#define.XCHAL_INT21_TYPE..XTHA
cac00 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 0a 2f 2a 20 20 4d 61 73 6b 73 L_INTTYPE_EXTERN_EDGE../*..Masks
cac20 20 6f 66 20 69 6e 74 65 72 72 75 70 74 73 20 66 6f 72 20 65 61 63 68 20 74 79 70 65 20 6f 66 20 .of.interrupts.for.each.type.of.
cac40 69 6e 74 65 72 72 75 70 74 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 interrupt:..*/.#define.XCHAL_INT
cac60 54 59 50 45 5f 4d 41 53 4b 5f 55 4e 43 4f 4e 46 49 47 55 52 45 44 09 30 78 46 46 43 30 30 30 30 TYPE_MASK_UNCONFIGURED.0xFFC0000
cac80 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 53 4f 46 0.#define.XCHAL_INTTYPE_MASK_SOF
caca0 54 57 41 52 45 09 30 78 30 30 30 30 30 38 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 TWARE.0x00000880.#define.XCHAL_I
cacc0 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 45 58 54 45 52 4e 5f 45 44 47 45 09 30 78 30 30 33 46 38 30 NTTYPE_MASK_EXTERN_EDGE.0x003F80
cace0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 45 58 00.#define.XCHAL_INTTYPE_MASK_EX
cad00 54 45 52 4e 5f 4c 45 56 45 4c 09 30 78 30 30 30 30 31 33 33 46 0a 23 64 65 66 69 6e 65 20 58 43 TERN_LEVEL.0x0000133F.#define.XC
cad20 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 54 49 4d 45 52 09 30 78 30 30 30 30 32 34 34 HAL_INTTYPE_MASK_TIMER.0x0000244
cad40 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 4e 4d 49 0.#define.XCHAL_INTTYPE_MASK_NMI
cad60 09 09 30 78 30 30 30 30 34 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 ..0x00004000.#define.XCHAL_INTTY
cad80 50 45 5f 4d 41 53 4b 5f 57 52 49 54 45 5f 45 52 52 4f 52 09 30 78 30 30 30 30 30 30 30 30 0a 23 PE_MASK_WRITE_ERROR.0x00000000.#
cada0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 50 52 4f 46 49 4c define.XCHAL_INTTYPE_MASK_PROFIL
cadc0 49 4e 47 09 30 78 30 30 30 30 30 30 30 30 0a 0a 2f 2a 20 20 49 6e 74 65 72 72 75 70 74 20 6e 75 ING.0x00000000../*..Interrupt.nu
cade0 6d 62 65 72 73 20 61 73 73 69 67 6e 65 64 20 74 6f 20 73 70 65 63 69 66 69 63 20 69 6e 74 65 72 mbers.assigned.to.specific.inter
cae00 72 75 70 74 20 73 6f 75 72 63 65 73 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f rupt.sources:..*/.#define.XCHAL_
cae20 54 49 4d 45 52 30 5f 49 4e 54 45 52 52 55 50 54 09 09 36 09 2f 2a 20 43 43 4f 4d 50 41 52 45 30 TIMER0_INTERRUPT..6./*.CCOMPARE0
cae40 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 49 4d 45 52 31 5f 49 4e 54 45 52 52 55 .*/.#define.XCHAL_TIMER1_INTERRU
cae60 50 54 09 09 31 30 09 2f 2a 20 43 43 4f 4d 50 41 52 45 31 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 PT..10./*.CCOMPARE1.*/.#define.X
cae80 43 48 41 4c 5f 54 49 4d 45 52 32 5f 49 4e 54 45 52 52 55 50 54 09 09 31 33 09 2f 2a 20 43 43 4f CHAL_TIMER2_INTERRUPT..13./*.CCO
caea0 4d 50 41 52 45 32 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 49 4d 45 52 33 5f 49 MPARE2.*/.#define.XCHAL_TIMER3_I
caec0 4e 54 45 52 52 55 50 54 09 09 58 54 48 41 4c 5f 54 49 4d 45 52 5f 55 4e 43 4f 4e 46 49 47 55 52 NTERRUPT..XTHAL_TIMER_UNCONFIGUR
caee0 45 44 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 5f 49 4e 54 45 52 52 55 50 54 09 09 ED.#define.XCHAL_NMI_INTERRUPT..
caf00 31 34 09 2f 2a 20 6e 6f 6e 2d 6d 61 73 6b 61 62 6c 65 20 69 6e 74 65 72 72 75 70 74 20 2a 2f 0a 14./*.non-maskable.interrupt.*/.
caf20 0a 2f 2a 20 20 49 6e 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 73 20 66 6f 72 20 6c 65 76 65 6c ./*..Interrupt.numbers.for.level
caf40 73 20 61 74 20 77 68 69 63 68 20 6f 6e 6c 79 20 6f 6e 65 20 69 6e 74 65 72 72 75 70 74 20 69 73 s.at.which.only.one.interrupt.is
caf60 20 63 6f 6e 66 69 67 75 72 65 64 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 .configured:..*/.#define.XCHAL_I
caf80 4e 54 4c 45 56 45 4c 32 5f 4e 55 4d 09 09 38 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e NTLEVEL2_NUM..8.#define.XCHAL_IN
cafa0 54 4c 45 56 45 4c 34 5f 4e 55 4d 09 09 31 32 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e TLEVEL4_NUM..12.#define.XCHAL_IN
cafc0 54 4c 45 56 45 4c 35 5f 4e 55 4d 09 09 31 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e TLEVEL5_NUM..13.#define.XCHAL_IN
cafe0 54 4c 45 56 45 4c 37 5f 4e 55 4d 09 09 31 34 0a 2f 2a 20 20 28 54 68 65 72 65 20 61 72 65 20 6d TLEVEL7_NUM..14./*..(There.are.m
cb000 61 6e 79 20 69 6e 74 65 72 72 75 70 74 73 20 65 61 63 68 20 61 74 20 6c 65 76 65 6c 28 73 29 20 any.interrupts.each.at.level(s).
cb020 31 2c 20 33 2e 29 20 20 2a 2f 0a 0a 0a 2f 2a 0a 20 2a 20 20 45 78 74 65 72 6e 61 6c 20 69 6e 74 1,.3.)..*/.../*..*..External.int
cb040 65 72 72 75 70 74 20 6d 61 70 70 69 6e 67 2e 0a 20 2a 20 20 54 68 65 73 65 20 6d 61 63 72 6f 73 errupt.mapping...*..These.macros
cb060 20 64 65 73 63 72 69 62 65 20 68 6f 77 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 20 69 .describe.how.Xtensa.processor.i
cb080 6e 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 73 0a 20 2a 20 20 28 61 73 20 6e 75 6d 62 65 72 65 nterrupt.numbers..*..(as.numbere
cb0a0 64 20 69 6e 74 65 72 6e 61 6c 6c 79 2c 20 65 67 2e 20 69 6e 20 49 4e 54 45 52 52 55 50 54 20 61 d.internally,.eg..in.INTERRUPT.a
cb0c0 6e 64 20 49 4e 54 45 4e 41 42 4c 45 20 72 65 67 69 73 74 65 72 73 29 0a 20 2a 20 20 6d 61 70 20 nd.INTENABLE.registers)..*..map.
cb0e0 74 6f 20 65 78 74 65 72 6e 61 6c 20 42 49 6e 74 65 72 72 75 70 74 3c 6e 3e 20 70 69 6e 73 2c 20 to.external.BInterrupt<n>.pins,.
cb100 66 6f 72 20 74 68 6f 73 65 20 69 6e 74 65 72 72 75 70 74 73 0a 20 2a 20 20 63 6f 6e 66 69 67 75 for.those.interrupts..*..configu
cb120 72 65 64 20 61 73 20 65 78 74 65 72 6e 61 6c 20 28 6c 65 76 65 6c 2d 74 72 69 67 67 65 72 65 64 red.as.external.(level-triggered
cb140 2c 20 65 64 67 65 2d 74 72 69 67 67 65 72 65 64 2c 20 6f 72 20 4e 4d 49 29 2e 0a 20 2a 20 20 53 ,.edge-triggered,.or.NMI)...*..S
cb160 65 65 20 74 68 65 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 20 64 61 74 61 62 6f 6f 6b ee.the.Xtensa.processor.databook
cb180 20 66 6f 72 20 6d 6f 72 65 20 64 65 74 61 69 6c 73 2e 0a 20 2a 2f 0a 0a 2f 2a 20 20 43 6f 72 65 .for.more.details...*/../*..Core
cb1a0 20 69 6e 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 73 20 6d 61 70 70 65 64 20 74 6f 20 65 61 63 .interrupt.numbers.mapped.to.eac
cb1c0 68 20 45 58 54 45 52 4e 41 4c 20 42 49 6e 74 65 72 72 75 70 74 20 70 69 6e 20 6e 75 6d 62 65 72 h.EXTERNAL.BInterrupt.pin.number
cb1e0 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 30 5f 4e 55 4d 09 :..*/.#define.XCHAL_EXTINT0_NUM.
cb200 09 30 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .0./*.(intlevel.1).*/.#define.XC
cb220 48 41 4c 5f 45 58 54 49 4e 54 31 5f 4e 55 4d 09 09 31 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT1_NUM..1./*.(intlevel.
cb240 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 32 5f 4e 55 4d 09 1).*/.#define.XCHAL_EXTINT2_NUM.
cb260 09 32 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .2./*.(intlevel.1).*/.#define.XC
cb280 48 41 4c 5f 45 58 54 49 4e 54 33 5f 4e 55 4d 09 09 33 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT3_NUM..3./*.(intlevel.
cb2a0 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 34 5f 4e 55 4d 09 1).*/.#define.XCHAL_EXTINT4_NUM.
cb2c0 09 34 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .4./*.(intlevel.1).*/.#define.XC
cb2e0 48 41 4c 5f 45 58 54 49 4e 54 35 5f 4e 55 4d 09 09 35 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT5_NUM..5./*.(intlevel.
cb300 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 36 5f 4e 55 4d 09 1).*/.#define.XCHAL_EXTINT6_NUM.
cb320 09 38 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 32 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .8./*.(intlevel.2).*/.#define.XC
cb340 48 41 4c 5f 45 58 54 49 4e 54 37 5f 4e 55 4d 09 09 39 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT7_NUM..9./*.(intlevel.
cb360 33 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 38 5f 4e 55 4d 09 3).*/.#define.XCHAL_EXTINT8_NUM.
cb380 09 31 32 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 34 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 .12./*.(intlevel.4).*/.#define.X
cb3a0 43 48 41 4c 5f 45 58 54 49 4e 54 39 5f 4e 55 4d 09 09 31 34 09 2f 2a 20 28 69 6e 74 6c 65 76 65 CHAL_EXTINT9_NUM..14./*.(intleve
cb3c0 6c 20 37 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 30 5f 4e l.7).*/.#define.XCHAL_EXTINT10_N
cb3e0 55 4d 09 09 31 35 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e UM..15./*.(intlevel.1).*/.#defin
cb400 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 31 5f 4e 55 4d 09 09 31 36 09 2f 2a 20 28 69 6e 74 e.XCHAL_EXTINT11_NUM..16./*.(int
cb420 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 level.1).*/.#define.XCHAL_EXTINT
cb440 31 32 5f 4e 55 4d 09 09 31 37 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 12_NUM..17./*.(intlevel.1).*/.#d
cb460 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 33 5f 4e 55 4d 09 09 31 38 09 2f 2a 20 efine.XCHAL_EXTINT13_NUM..18./*.
cb480 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 (intlevel.1).*/.#define.XCHAL_EX
cb4a0 54 49 4e 54 31 34 5f 4e 55 4d 09 09 31 39 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a TINT14_NUM..19./*.(intlevel.1).*
cb4c0 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 35 5f 4e 55 4d 09 09 32 30 /.#define.XCHAL_EXTINT15_NUM..20
cb4e0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
cb500 4c 5f 45 58 54 49 4e 54 31 36 5f 4e 55 4d 09 09 32 31 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 L_EXTINT16_NUM..21./*.(intlevel.
cb520 33 29 20 2a 2f 0a 2f 2a 20 20 45 58 54 45 52 4e 41 4c 20 42 49 6e 74 65 72 72 75 70 74 20 70 69 3).*/./*..EXTERNAL.BInterrupt.pi
cb540 6e 20 6e 75 6d 62 65 72 73 20 6d 61 70 70 65 64 20 74 6f 20 65 61 63 68 20 63 6f 72 65 20 69 6e n.numbers.mapped.to.each.core.in
cb560 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 terrupt.number:..*/.#define.XCHA
cb580 4c 5f 49 4e 54 30 5f 45 58 54 4e 55 4d 09 09 30 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 L_INT0_EXTNUM..0./*.(intlevel.1)
cb5a0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 5f 45 58 54 4e 55 4d 09 09 31 .*/.#define.XCHAL_INT1_EXTNUM..1
cb5c0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
cb5e0 4c 5f 49 4e 54 32 5f 45 58 54 4e 55 4d 09 09 32 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 L_INT2_EXTNUM..2./*.(intlevel.1)
cb600 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 33 5f 45 58 54 4e 55 4d 09 09 33 .*/.#define.XCHAL_INT3_EXTNUM..3
cb620 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
cb640 4c 5f 49 4e 54 34 5f 45 58 54 4e 55 4d 09 09 34 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 L_INT4_EXTNUM..4./*.(intlevel.1)
cb660 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 35 5f 45 58 54 4e 55 4d 09 09 35 .*/.#define.XCHAL_INT5_EXTNUM..5
cb680 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
cb6a0 4c 5f 49 4e 54 38 5f 45 58 54 4e 55 4d 09 09 36 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 32 29 L_INT8_EXTNUM..6./*.(intlevel.2)
cb6c0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 39 5f 45 58 54 4e 55 4d 09 09 37 .*/.#define.XCHAL_INT9_EXTNUM..7
cb6e0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 33 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.3).*/.#define.XCHA
cb700 4c 5f 49 4e 54 31 32 5f 45 58 54 4e 55 4d 09 09 38 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 34 L_INT12_EXTNUM..8./*.(intlevel.4
cb720 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 34 5f 45 58 54 4e 55 4d 09 ).*/.#define.XCHAL_INT14_EXTNUM.
cb740 09 39 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 37 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .9./*.(intlevel.7).*/.#define.XC
cb760 48 41 4c 5f 49 4e 54 31 35 5f 45 58 54 4e 55 4d 09 09 31 30 09 2f 2a 20 28 69 6e 74 6c 65 76 65 HAL_INT15_EXTNUM..10./*.(intleve
cb780 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 36 5f 45 58 54 4e l.1).*/.#define.XCHAL_INT16_EXTN
cb7a0 55 4d 09 09 31 31 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e UM..11./*.(intlevel.1).*/.#defin
cb7c0 65 20 58 43 48 41 4c 5f 49 4e 54 31 37 5f 45 58 54 4e 55 4d 09 09 31 32 09 2f 2a 20 28 69 6e 74 e.XCHAL_INT17_EXTNUM..12./*.(int
cb7e0 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 38 5f level.1).*/.#define.XCHAL_INT18_
cb800 45 58 54 4e 55 4d 09 09 31 33 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 EXTNUM..13./*.(intlevel.1).*/.#d
cb820 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 39 5f 45 58 54 4e 55 4d 09 09 31 34 09 2f 2a 20 efine.XCHAL_INT19_EXTNUM..14./*.
cb840 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e (intlevel.1).*/.#define.XCHAL_IN
cb860 54 32 30 5f 45 58 54 4e 55 4d 09 09 31 35 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a T20_EXTNUM..15./*.(intlevel.1).*
cb880 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 31 5f 45 58 54 4e 55 4d 09 09 31 36 /.#define.XCHAL_INT21_EXTNUM..16
cb8a0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 33 29 20 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d ./*.(intlevel.3).*/.../*--------
cb8c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
cb8e0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 ------------------------------..
cb900 09 09 45 58 43 45 50 54 49 4f 4e 53 20 61 6e 64 20 56 45 43 54 4f 52 53 0a 20 20 2d 2d 2d 2d 2d ..EXCEPTIONS.and.VECTORS...-----
cb920 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
cb940 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
cb960 2d 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 58 45 41 5f 56 45 52 53 49 4f 4e 09 09 -*/..#define.XCHAL_XEA_VERSION..
cb980 32 09 2f 2a 20 58 74 65 6e 73 61 20 45 78 63 65 70 74 69 6f 6e 20 41 72 63 68 69 74 65 63 74 75 2./*.Xtensa.Exception.Architectu
cb9a0 72 65 0a 09 09 09 09 09 09 20 20 20 6e 75 6d 62 65 72 3a 20 31 20 3d 3d 20 58 45 41 31 20 28 6f re..........number:.1.==.XEA1.(o
cb9c0 6c 64 29 0a 09 09 09 09 09 09 09 20 20 20 32 20 3d 3d 20 58 45 41 32 20 28 6e 65 77 29 0a 09 09 ld)...........2.==.XEA2.(new)...
cb9e0 09 09 09 09 09 20 20 20 30 20 3d 3d 20 58 45 41 58 20 28 65 78 74 65 72 6e 29 20 6f 72 20 54 58 ........0.==.XEAX.(extern).or.TX
cba00 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 45 41 31 09 09 09 30 09 .*/.#define.XCHAL_HAVE_XEA1...0.
cba20 2f 2a 20 45 78 63 65 70 74 69 6f 6e 20 41 72 63 68 69 74 65 63 74 75 72 65 20 31 20 2a 2f 0a 23 /*.Exception.Architecture.1.*/.#
cba40 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 45 41 32 09 09 09 31 09 2f 2a 20 45 78 define.XCHAL_HAVE_XEA2...1./*.Ex
cba60 63 65 70 74 69 6f 6e 20 41 72 63 68 69 74 65 63 74 75 72 65 20 32 20 2a 2f 0a 23 64 65 66 69 6e ception.Architecture.2.*/.#defin
cba80 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 45 41 58 09 09 09 30 09 2f 2a 20 45 78 74 65 72 6e 61 e.XCHAL_HAVE_XEAX...0./*.Externa
cbaa0 6c 20 45 78 63 65 70 74 69 6f 6e 20 41 72 63 68 2e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 l.Exception.Arch..*/.#define.XCH
cbac0 41 4c 5f 48 41 56 45 5f 45 58 43 45 50 54 49 4f 4e 53 09 09 31 09 2f 2a 20 65 78 63 65 70 74 69 AL_HAVE_EXCEPTIONS..1./*.excepti
cbae0 6f 6e 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f on.option.*/.#define.XCHAL_HAVE_
cbb00 48 41 4c 54 09 09 09 30 09 2f 2a 20 68 61 6c 74 20 61 72 63 68 69 74 65 63 74 75 72 65 20 6f 70 HALT...0./*.halt.architecture.op
cbb20 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 4f 4f 54 4c tion.*/.#define.XCHAL_HAVE_BOOTL
cbb40 4f 41 44 45 52 09 09 30 09 2f 2a 20 62 6f 6f 74 20 6c 6f 61 64 65 72 20 28 66 6f 72 20 54 58 29 OADER..0./*.boot.loader.(for.TX)
cbb60 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 45 4d 5f 45 43 43 5f 50 .*/.#define.XCHAL_HAVE_MEM_ECC_P
cbb80 41 52 49 54 59 09 30 09 2f 2a 20 6c 6f 63 61 6c 20 6d 65 6d 6f 72 79 20 45 43 43 2f 70 61 72 69 ARITY.0./*.local.memory.ECC/pari
cbba0 74 79 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 4f 52 5f ty.*/.#define.XCHAL_HAVE_VECTOR_
cbbc0 53 45 4c 45 43 54 09 31 09 2f 2a 20 72 65 6c 6f 63 61 74 61 62 6c 65 20 76 65 63 74 6f 72 73 20 SELECT.1./*.relocatable.vectors.
cbbe0 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 42 41 53 45 09 09 31 */.#define.XCHAL_HAVE_VECBASE..1
cbc00 09 2f 2a 20 72 65 6c 6f 63 61 74 61 62 6c 65 20 76 65 63 74 6f 72 73 20 2a 2f 0a 23 64 65 66 69 ./*.relocatable.vectors.*/.#defi
cbc20 6e 65 20 58 43 48 41 4c 5f 56 45 43 42 41 53 45 5f 52 45 53 45 54 5f 56 41 44 44 52 09 30 78 30 ne.XCHAL_VECBASE_RESET_VADDR.0x0
cbc40 30 30 30 32 30 30 30 20 20 2f 2a 20 56 45 43 42 41 53 45 20 72 65 73 65 74 20 76 61 6c 75 65 20 0002000../*.VECBASE.reset.value.
cbc60 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 56 45 43 42 41 53 45 5f 52 45 53 45 54 5f 50 */.#define.XCHAL_VECBASE_RESET_P
cbc80 41 44 44 52 09 30 78 30 30 30 30 32 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 ADDR.0x00002000.#define.XCHAL_RE
cbca0 53 45 54 5f 56 45 43 42 41 53 45 5f 4f 56 45 52 4c 41 50 09 30 0a 0a 23 64 65 66 69 6e 65 20 58 SET_VECBASE_OVERLAP.0..#define.X
cbcc0 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 30 5f 56 41 44 44 52 09 30 78 46 45 30 30 30 CHAL_RESET_VECTOR0_VADDR.0xFE000
cbce0 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 30 5f 000.#define.XCHAL_RESET_VECTOR0_
cbd00 50 41 44 44 52 09 30 78 46 45 30 30 30 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 PADDR.0xFE000000.#define.XCHAL_R
cbd20 45 53 45 54 5f 56 45 43 54 4f 52 31 5f 56 41 44 44 52 09 30 78 30 30 30 30 31 30 30 30 0a 23 64 ESET_VECTOR1_VADDR.0x00001000.#d
cbd40 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 31 5f 50 41 44 44 52 09 efine.XCHAL_RESET_VECTOR1_PADDR.
cbd60 30 78 30 30 30 30 31 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 53 45 54 5f 56 0x00001000.#define.XCHAL_RESET_V
cbd80 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 46 45 30 30 30 30 30 30 0a 23 64 65 66 69 6e 65 20 58 ECTOR_VADDR.0xFE000000.#define.X
cbda0 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 46 45 30 30 30 30 CHAL_RESET_VECTOR_PADDR.0xFE0000
cbdc0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 53 45 52 5f 56 45 43 4f 46 53 09 09 30 78 00.#define.XCHAL_USER_VECOFS..0x
cbde0 30 30 30 30 30 33 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 53 45 52 5f 56 45 43 54 00000340.#define.XCHAL_USER_VECT
cbe00 4f 52 5f 56 41 44 44 52 09 09 30 78 30 30 30 30 32 33 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 OR_VADDR..0x00002340.#define.XCH
cbe20 41 4c 5f 55 53 45 52 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 09 30 78 30 30 30 30 32 33 34 30 AL_USER_VECTOR_PADDR..0x00002340
cbe40 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4b 45 52 4e 45 4c 5f 56 45 43 4f 46 53 09 09 30 78 .#define.XCHAL_KERNEL_VECOFS..0x
cbe60 30 30 30 30 30 33 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4b 45 52 4e 45 4c 5f 56 45 00000300.#define.XCHAL_KERNEL_VE
cbe80 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 33 30 30 0a 23 64 65 66 69 6e 65 20 58 43 CTOR_VADDR.0x00002300.#define.XC
cbea0 48 41 4c 5f 4b 45 52 4e 45 4c 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 33 HAL_KERNEL_VECTOR_PADDR.0x000023
cbec0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 4f 55 42 4c 45 45 58 43 5f 56 45 43 4f 46 00.#define.XCHAL_DOUBLEEXC_VECOF
cbee0 53 09 09 30 78 30 30 30 30 30 33 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 4f 55 42 S..0x000003C0.#define.XCHAL_DOUB
cbf00 4c 45 45 58 43 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 33 43 30 0a 23 64 LEEXC_VECTOR_VADDR.0x000023C0.#d
cbf20 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 4f 55 42 4c 45 45 58 43 5f 56 45 43 54 4f 52 5f 50 41 44 efine.XCHAL_DOUBLEEXC_VECTOR_PAD
cbf40 44 52 09 30 78 30 30 30 30 32 33 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 DR.0x000023C0.#define.XCHAL_WIND
cbf60 4f 57 5f 4f 46 34 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 30 30 30 0a 23 64 65 66 69 6e OW_OF4_VECOFS..0x00000000.#defin
cbf80 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 55 46 34 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 e.XCHAL_WINDOW_UF4_VECOFS..0x000
cbfa0 30 30 30 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 4f 46 38 5f 56 00040.#define.XCHAL_WINDOW_OF8_V
cbfc0 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 30 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f ECOFS..0x00000080.#define.XCHAL_
cbfe0 57 49 4e 44 4f 57 5f 55 46 38 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 30 43 30 0a 23 64 WINDOW_UF8_VECOFS..0x000000C0.#d
cc000 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 4f 46 31 32 5f 56 45 43 4f 46 53 09 30 efine.XCHAL_WINDOW_OF12_VECOFS.0
cc020 78 30 30 30 30 30 31 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 55 x00000100.#define.XCHAL_WINDOW_U
cc040 46 31 32 5f 56 45 43 4f 46 53 09 30 78 30 30 30 30 30 31 34 30 0a 23 64 65 66 69 6e 65 20 58 43 F12_VECOFS.0x00000140.#define.XC
cc060 48 41 4c 5f 57 49 4e 44 4f 57 5f 56 45 43 54 4f 52 53 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 HAL_WINDOW_VECTORS_VADDR.0x00002
cc080 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 56 45 43 54 4f 52 53 000.#define.XCHAL_WINDOW_VECTORS
cc0a0 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f _PADDR.0x00002000.#define.XCHAL_
cc0c0 49 4e 54 4c 45 56 45 4c 32 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 31 38 30 0a 23 64 65 INTLEVEL2_VECOFS..0x00000180.#de
cc0e0 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 32 5f 56 45 43 54 4f 52 5f 56 41 44 44 fine.XCHAL_INTLEVEL2_VECTOR_VADD
cc100 52 09 30 78 30 30 30 30 32 31 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 R.0x00002180.#define.XCHAL_INTLE
cc120 56 45 4c 32 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 31 38 30 0a 23 64 65 VEL2_VECTOR_PADDR.0x00002180.#de
cc140 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 56 45 43 4f 46 53 09 09 30 78 30 fine.XCHAL_INTLEVEL3_VECOFS..0x0
cc160 30 30 30 30 31 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 00001C0.#define.XCHAL_INTLEVEL3_
cc180 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 31 43 30 0a 23 64 65 66 69 6e 65 20 VECTOR_VADDR.0x000021C0.#define.
cc1a0 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 XCHAL_INTLEVEL3_VECTOR_PADDR.0x0
cc1c0 30 30 30 32 31 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 34 5f 00021C0.#define.XCHAL_INTLEVEL4_
cc1e0 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 32 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c VECOFS..0x00000200.#define.XCHAL
cc200 5f 49 4e 54 4c 45 56 45 4c 34 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 32 _INTLEVEL4_VECTOR_VADDR.0x000022
cc220 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 34 5f 56 45 43 54 4f 00.#define.XCHAL_INTLEVEL4_VECTO
cc240 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 32 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c R_PADDR.0x00002200.#define.XCHAL
cc260 5f 49 4e 54 4c 45 56 45 4c 35 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 32 34 30 0a 23 64 _INTLEVEL5_VECOFS..0x00000240.#d
cc280 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 35 5f 56 45 43 54 4f 52 5f 56 41 44 efine.XCHAL_INTLEVEL5_VECTOR_VAD
cc2a0 44 52 09 30 78 30 30 30 30 32 32 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c DR.0x00002240.#define.XCHAL_INTL
cc2c0 45 56 45 4c 35 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 32 34 30 0a 23 64 EVEL5_VECTOR_PADDR.0x00002240.#d
cc2e0 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 4f 46 53 09 09 30 78 efine.XCHAL_INTLEVEL6_VECOFS..0x
cc300 30 30 30 30 30 32 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 00000280.#define.XCHAL_INTLEVEL6
cc320 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 32 38 30 0a 23 64 65 66 69 6e 65 _VECTOR_VADDR.0x00002280.#define
cc340 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 .XCHAL_INTLEVEL6_VECTOR_PADDR.0x
cc360 30 30 30 30 32 32 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 5f 56 45 43 00002280.#define.XCHAL_DEBUG_VEC
cc380 4f 46 53 09 09 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 4f 46 53 0a 23 64 65 66 OFS..XCHAL_INTLEVEL6_VECOFS.#def
cc3a0 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 58 43 48 ine.XCHAL_DEBUG_VECTOR_VADDR.XCH
cc3c0 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 0a 23 64 65 66 69 6e AL_INTLEVEL6_VECTOR_VADDR.#defin
cc3e0 65 20 58 43 48 41 4c 5f 44 45 42 55 47 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 58 43 48 41 4c e.XCHAL_DEBUG_VECTOR_PADDR.XCHAL
cc400 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 0a 23 64 65 66 69 6e 65 20 _INTLEVEL6_VECTOR_PADDR.#define.
cc420 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 32 43 30 0a 23 64 65 XCHAL_NMI_VECOFS..0x000002C0.#de
cc440 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 09 30 78 30 fine.XCHAL_NMI_VECTOR_VADDR..0x0
cc460 30 30 30 32 32 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 00022C0.#define.XCHAL_NMI_VECTOR
cc480 5f 50 41 44 44 52 09 09 30 78 30 30 30 30 32 32 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c _PADDR..0x000022C0.#define.XCHAL
cc4a0 5f 49 4e 54 4c 45 56 45 4c 37 5f 56 45 43 4f 46 53 09 09 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 _INTLEVEL7_VECOFS..XCHAL_NMI_VEC
cc4c0 4f 46 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 56 45 43 54 OFS.#define.XCHAL_INTLEVEL7_VECT
cc4e0 4f 52 5f 56 41 44 44 52 09 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 0a OR_VADDR.XCHAL_NMI_VECTOR_VADDR.
cc500 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 56 45 43 54 4f 52 5f 50 #define.XCHAL_INTLEVEL7_VECTOR_P
cc520 41 44 44 52 09 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 0a 0a 0a 2f 2a ADDR.XCHAL_NMI_VECTOR_PADDR.../*
cc540 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
cc560 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
cc580 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 44 45 42 55 47 20 4d 4f 44 55 4c 45 0a 20 20 2d 2d 2d 2d 2d 2d ------.....DEBUG.MODULE...------
cc5a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
cc5c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
cc5e0 2a 2f 0a 0a 2f 2a 20 20 4d 69 73 63 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 */../*..Misc..*/.#define.XCHAL_H
cc600 41 56 45 5f 44 45 42 55 47 5f 45 52 49 09 09 30 09 2f 2a 20 45 52 49 20 74 6f 20 64 65 62 75 67 AVE_DEBUG_ERI..0./*.ERI.to.debug
cc620 20 6d 6f 64 75 6c 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 .module.*/.#define.XCHAL_HAVE_DE
cc640 42 55 47 5f 41 50 42 09 09 30 09 2f 2a 20 41 50 42 20 74 6f 20 64 65 62 75 67 20 6d 6f 64 75 6c BUG_APB..0./*.APB.to.debug.modul
cc660 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 42 55 47 5f 4a 54 e.*/.#define.XCHAL_HAVE_DEBUG_JT
cc680 41 47 09 09 31 09 2f 2a 20 4a 54 41 47 20 74 6f 20 64 65 62 75 67 20 6d 6f 64 75 6c 65 20 2a 2f AG..1./*.JTAG.to.debug.module.*/
cc6a0 0a 0a 2f 2a 20 20 4f 6e 2d 43 68 69 70 20 44 65 62 75 67 20 28 4f 43 44 29 20 20 2a 2f 0a 23 64 ../*..On-Chip.Debug.(OCD)..*/.#d
cc6c0 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4f 43 44 09 09 09 31 09 2f 2a 20 4f 6e 43 68 efine.XCHAL_HAVE_OCD...1./*.OnCh
cc6e0 69 70 44 65 62 75 67 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f ipDebug.option.*/.#define.XCHAL_
cc700 4e 55 4d 5f 49 42 52 45 41 4b 09 09 32 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 49 42 52 45 41 NUM_IBREAK..2./*.number.of.IBREA
cc720 4b 6e 20 72 65 67 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 44 42 52 Kn.regs.*/.#define.XCHAL_NUM_DBR
cc740 45 41 4b 09 09 32 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 44 42 52 45 41 4b 6e 20 72 65 67 73 EAK..2./*.number.of.DBREAKn.regs
cc760 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4f 43 44 5f 44 49 52 5f 41 .*/.#define.XCHAL_HAVE_OCD_DIR_A
cc780 52 52 41 59 09 30 09 2f 2a 20 66 61 73 74 65 72 20 4f 43 44 20 6f 70 74 69 6f 6e 20 28 74 6f 20 RRAY.0./*.faster.OCD.option.(to.
cc7a0 4c 58 34 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4f 43 44 5f 4c LX4).*/.#define.XCHAL_HAVE_OCD_L
cc7c0 53 33 32 44 44 52 09 09 30 09 2f 2a 20 4c 33 32 44 44 52 2f 53 33 32 44 44 52 20 28 66 61 73 74 S32DDR..0./*.L32DDR/S32DDR.(fast
cc7e0 65 72 20 4f 43 44 29 20 2a 2f 0a 0a 2f 2a 20 20 54 52 41 58 20 28 69 6e 20 63 6f 72 65 29 20 20 er.OCD).*/../*..TRAX.(in.core)..
cc800 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 52 41 58 09 09 09 30 09 2f */.#define.XCHAL_HAVE_TRAX...0./
cc820 2a 20 54 52 41 58 20 69 6e 20 64 65 62 75 67 20 6d 6f 64 75 6c 65 20 2a 2f 0a 23 64 65 66 69 6e *.TRAX.in.debug.module.*/.#defin
cc840 65 20 58 43 48 41 4c 5f 54 52 41 58 5f 4d 45 4d 5f 53 49 5a 45 09 09 30 09 2f 2a 20 54 52 41 58 e.XCHAL_TRAX_MEM_SIZE..0./*.TRAX
cc860 20 6d 65 6d 6f 72 79 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 .memory.size.in.bytes.*/.#define
cc880 20 58 43 48 41 4c 5f 54 52 41 58 5f 4d 45 4d 5f 53 48 41 52 45 41 42 4c 45 09 30 09 2f 2a 20 73 .XCHAL_TRAX_MEM_SHAREABLE.0./*.s
cc8a0 74 61 72 74 2f 65 6e 64 20 72 65 67 73 3b 20 72 65 61 64 79 20 73 69 67 2e 20 2a 2f 0a 23 64 65 tart/end.regs;.ready.sig..*/.#de
cc8c0 66 69 6e 65 20 58 43 48 41 4c 5f 54 52 41 58 5f 41 54 42 5f 57 49 44 54 48 09 09 30 09 2f 2a 20 fine.XCHAL_TRAX_ATB_WIDTH..0./*.
cc8e0 41 54 42 20 77 69 64 74 68 20 28 62 69 74 73 29 2c 20 30 3d 6e 6f 20 41 54 42 20 2a 2f 0a 23 64 ATB.width.(bits),.0=no.ATB.*/.#d
cc900 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 52 41 58 5f 54 49 4d 45 5f 57 49 44 54 48 09 09 30 09 2f efine.XCHAL_TRAX_TIME_WIDTH..0./
cc920 2a 20 74 69 6d 65 73 74 61 6d 70 20 62 69 74 77 69 64 74 68 2c 20 30 3d 6e 6f 6e 65 20 2a 2f 0a *.timestamp.bitwidth,.0=none.*/.
cc940 0a 2f 2a 20 20 50 65 72 66 20 63 6f 75 6e 74 65 72 73 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 ./*..Perf.counters..*/.#define.X
cc960 43 48 41 4c 5f 4e 55 4d 5f 50 45 52 46 5f 43 4f 55 4e 54 45 52 53 09 09 30 09 2f 2a 20 70 65 72 CHAL_NUM_PERF_COUNTERS..0./*.per
cc980 66 6f 72 6d 61 6e 63 65 20 63 6f 75 6e 74 65 72 73 20 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d formance.counters.*/.../*-------
cc9a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
cc9c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a -------------------------------.
cc9e0 09 09 09 09 4d 4d 55 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ....MMU...----------------------
cca00 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
cca20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 2f 2a 20 20 53 65 65 20 63 6f 72 65 ----------------*/../*..See.core
cca40 2d 6d 61 74 6d 61 70 2e 68 20 68 65 61 64 65 72 20 66 69 6c 65 20 66 6f 72 20 6d 6f 72 65 20 64 -matmap.h.header.file.for.more.d
cca60 65 74 61 69 6c 73 2e 20 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f etails...*/..#define.XCHAL_HAVE_
cca80 54 4c 42 53 09 09 09 31 09 2f 2a 20 69 6e 76 65 72 73 65 20 6f 66 20 48 41 56 45 5f 43 41 43 48 TLBS...1./*.inverse.of.HAVE_CACH
ccaa0 45 41 54 54 52 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 50 41 4e EATTR.*/.#define.XCHAL_HAVE_SPAN
ccac0 4e 49 4e 47 5f 57 41 59 09 09 31 09 2f 2a 20 6f 6e 65 20 77 61 79 20 6d 61 70 73 20 49 2b 44 20 NING_WAY..1./*.one.way.maps.I+D.
ccae0 34 47 42 20 76 61 64 64 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 53 50 41 4e 4e 4GB.vaddr.*/.#define.XCHAL_SPANN
ccb00 49 4e 47 5f 57 41 59 09 09 36 09 2f 2a 20 54 4c 42 20 73 70 61 6e 6e 69 6e 67 20 77 61 79 20 6e ING_WAY..6./*.TLB.spanning.way.n
ccb20 75 6d 62 65 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 44 45 4e umber.*/.#define.XCHAL_HAVE_IDEN
ccb40 54 49 54 59 5f 4d 41 50 09 09 30 09 2f 2a 20 76 61 64 64 72 20 3d 3d 20 70 61 64 64 72 20 61 6c TITY_MAP..0./*.vaddr.==.paddr.al
ccb60 77 61 79 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 41 43 48 45 ways.*/.#define.XCHAL_HAVE_CACHE
ccb80 41 54 54 52 09 09 30 09 2f 2a 20 43 41 43 48 45 41 54 54 52 20 72 65 67 69 73 74 65 72 20 70 72 ATTR..0./*.CACHEATTR.register.pr
ccba0 65 73 65 6e 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 49 4d 49 esent.*/.#define.XCHAL_HAVE_MIMI
ccbc0 43 5f 43 41 43 48 45 41 54 54 52 09 30 09 2f 2a 20 72 65 67 69 6f 6e 20 70 72 6f 74 65 63 74 69 C_CACHEATTR.0./*.region.protecti
ccbe0 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 4c 54 5f 43 41 43 on.*/.#define.XCHAL_HAVE_XLT_CAC
ccc00 48 45 41 54 54 52 09 30 09 2f 2a 20 72 65 67 69 6f 6e 20 70 72 6f 74 2e 20 77 2f 74 72 61 6e 73 HEATTR.0./*.region.prot..w/trans
ccc20 6c 61 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 54 50 lation.*/.#define.XCHAL_HAVE_PTP
ccc40 5f 4d 4d 55 09 09 31 09 2f 2a 20 66 75 6c 6c 20 4d 4d 55 20 28 77 69 74 68 20 70 61 67 65 20 74 _MMU..1./*.full.MMU.(with.page.t
ccc60 61 62 6c 65 0a 09 09 09 09 09 09 20 20 20 5b 61 75 74 6f 72 65 66 69 6c 6c 5d 20 61 6e 64 20 70 able..........[autorefill].and.p
ccc80 72 6f 74 65 63 74 69 6f 6e 29 0a 09 09 09 09 09 09 20 20 20 75 73 61 62 6c 65 20 66 6f 72 20 61 rotection)..........usable.for.a
ccca0 6e 20 4d 4d 55 2d 62 61 73 65 64 20 4f 53 20 2a 2f 0a 2f 2a 20 20 49 66 20 6e 6f 6e 65 20 6f 66 n.MMU-based.OS.*/./*..If.none.of
cccc0 20 74 68 65 20 61 62 6f 76 65 20 6c 61 73 74 20 34 20 61 72 65 20 73 65 74 2c 20 69 74 27 73 20 .the.above.last.4.are.set,.it's.
ccce0 61 20 63 75 73 74 6f 6d 20 54 4c 42 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 2e 20 20 2a 2f 0a a.custom.TLB.configuration...*/.
ccd00 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 54 4c 42 5f 41 52 46 5f 45 4e 54 52 49 45 53 5f 4c #define.XCHAL_ITLB_ARF_ENTRIES_L
ccd20 4f 47 32 09 32 09 2f 2a 20 6c 6f 67 32 28 61 75 74 6f 72 65 66 69 6c 6c 20 77 61 79 20 73 69 7a OG2.2./*.log2(autorefill.way.siz
ccd40 65 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 54 4c 42 5f 41 52 46 5f 45 4e 54 e).*/.#define.XCHAL_DTLB_ARF_ENT
ccd60 52 49 45 53 5f 4c 4f 47 32 09 32 09 2f 2a 20 6c 6f 67 32 28 61 75 74 6f 72 65 66 69 6c 6c 20 77 RIES_LOG2.2./*.log2(autorefill.w
ccd80 61 79 20 73 69 7a 65 29 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 41 ay.size).*/..#define.XCHAL_MMU_A
ccda0 53 49 44 5f 42 49 54 53 09 09 38 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 62 69 74 73 20 69 6e SID_BITS..8./*.number.of.bits.in
ccdc0 20 41 53 49 44 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 52 49 4e 47 .ASIDs.*/.#define.XCHAL_MMU_RING
ccde0 53 09 09 09 34 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 72 69 6e 67 73 20 28 31 2e 2e 34 29 20 S...4./*.number.of.rings.(1..4).
cce00 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 52 49 4e 47 5f 42 49 54 53 09 09 */.#define.XCHAL_MMU_RING_BITS..
cce20 32 09 2f 2a 20 6e 75 6d 20 6f 66 20 62 69 74 73 20 69 6e 20 52 49 4e 47 20 66 69 65 6c 64 20 2a 2./*.num.of.bits.in.RING.field.*
cce40 2f 0a 0a 23 65 6e 64 69 66 20 2f 2a 20 21 58 54 45 4e 53 41 5f 48 41 4c 5f 4e 4f 4e 5f 50 52 49 /..#endif./*.!XTENSA_HAL_NON_PRI
cce60 56 49 4c 45 47 45 44 5f 4f 4e 4c 59 20 2a 2f 0a 0a 0a 23 65 6e 64 69 66 20 2f 2a 20 5f 58 54 45 VILEGED_ONLY.*/...#endif./*._XTE
cce80 4e 53 41 5f 43 4f 52 45 5f 43 4f 4e 46 49 47 55 52 41 54 49 4f 4e 5f 48 20 2a 2f 0a 0a 00 00 00 NSA_CORE_CONFIGURATION_H.*/.....
ccea0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccec0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccee0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccf00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccf20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccf40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccf60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccf80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccfa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccfc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ccfe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd000 6c 69 6e 75 78 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 linux/..........................
cd020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd060 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
cd080 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 32 33 35 32 00 20 35 00 00 00 0000000.13013421467.012352..5...
cd0a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd0c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd0e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd100 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
cd120 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
cd140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd1a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd1c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd1e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd200 6c 69 6e 75 78 2f 61 72 63 68 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 linux/arch/.....................
cd220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd260 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
cd280 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 33 32 36 37 00 20 35 00 00 00 0000000.13013421467.013267..5...
cd2a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd2c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd2e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd300 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
cd320 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
cd340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd3a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd3c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd3e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd400 6c 69 6e 75 78 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 linux/arch/xtensa/..............
cd420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd460 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
cd480 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 34 35 37 31 00 20 35 00 00 00 0000000.13013421467.014571..5...
cd4a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd4c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd4e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd500 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
cd520 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
cd540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd5a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd5c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd5e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd600 6c 69 6e 75 78 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 76 61 72 69 61 6e 74 73 2f 00 00 00 00 00 linux/arch/xtensa/variants/.....
cd620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd660 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
cd680 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 31 36 34 32 30 00 20 35 00 00 00 0000000.13013421467.016420..5...
cd6a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd6c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd6e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd700 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
cd720 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
cd740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd7a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd7c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd7e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd800 6c 69 6e 75 78 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 76 61 72 69 61 6e 74 73 2f 64 63 32 33 33 linux/arch/xtensa/variants/dc233
cd820 63 5f 63 61 6c 6c 30 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 c_call0/........................
cd840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd860 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
cd880 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 32 30 34 35 34 00 20 35 00 00 00 0000000.13013421467.020454..5...
cd8a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd8c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd8e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd900 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
cd920 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
cd940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd9a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd9c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cd9e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cda00 6c 69 6e 75 78 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 76 61 72 69 61 6e 74 73 2f 64 63 32 33 33 linux/arch/xtensa/variants/dc233
cda20 63 5f 63 61 6c 6c 30 2f 69 6e 63 6c 75 64 65 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 c_call0/include/................
cda40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cda60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
cda80 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 32 32 30 37 37 00 20 35 00 00 00 0000000.13013421467.022077..5...
cdaa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdac0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdae0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdb00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
cdb20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
cdb40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdb60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdb80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdba0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdbc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdbe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdc00 6c 69 6e 75 78 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 76 61 72 69 61 6e 74 73 2f 64 63 32 33 33 linux/arch/xtensa/variants/dc233
cdc20 63 5f 63 61 6c 6c 30 2f 69 6e 63 6c 75 64 65 2f 76 61 72 69 61 6e 74 2f 00 00 00 00 00 00 00 00 c_call0/include/variant/........
cdc40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdc60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
cdc80 30 30 30 30 30 30 30 00 31 33 30 31 33 34 32 31 34 36 37 00 30 32 33 35 34 33 00 20 35 00 00 00 0000000.13013421467.023543..5...
cdca0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdcc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdce0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdd00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
cdd20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
cdd40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdd60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdd80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdda0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cddc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdde0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cde00 6c 69 6e 75 78 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 76 61 72 69 61 6e 74 73 2f 64 63 32 33 33 linux/arch/xtensa/variants/dc233
cde20 63 5f 63 61 6c 6c 30 2f 69 6e 63 6c 75 64 65 2f 76 61 72 69 61 6e 74 2f 74 69 65 2e 68 00 00 00 c_call0/include/variant/tie.h...
cde40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cde60 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
cde80 30 30 31 35 33 31 37 00 31 33 30 31 33 34 32 31 34 36 37 00 30 32 34 35 30 34 00 20 30 00 00 00 0015317.13013421467.024504..0...
cdea0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdec0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdee0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdf00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
cdf20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
cdf40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdf60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdf80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdfa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdfc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cdfe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
ce000 2f 2a 20 0a 20 2a 20 74 69 65 2e 68 20 2d 2d 20 63 6f 6d 70 69 6c 65 2d 74 69 6d 65 20 48 41 4c /*...*.tie.h.--.compile-time.HAL
ce020 20 64 65 66 69 6e 69 74 69 6f 6e 73 20 64 65 70 65 6e 64 65 6e 74 20 6f 6e 20 43 4f 52 45 20 26 .definitions.dependent.on.CORE.&
ce040 20 54 49 45 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 0a 20 2a 0a 20 2a 20 20 4e 4f 54 45 3a 20 .TIE.configuration..*..*..NOTE:.
ce060 20 54 68 69 73 20 68 65 61 64 65 72 20 66 69 6c 65 20 69 73 20 6e 6f 74 20 6d 65 61 6e 74 20 74 .This.header.file.is.not.meant.t
ce080 6f 20 62 65 20 69 6e 63 6c 75 64 65 64 20 64 69 72 65 63 74 6c 79 2e 0a 20 2a 2f 0a 0a 2f 2a 20 o.be.included.directly...*/../*.
ce0a0 54 68 69 73 20 68 65 61 64 65 72 20 66 69 6c 65 20 64 65 73 63 72 69 62 65 73 20 74 68 69 73 20 This.header.file.describes.this.
ce0c0 73 70 65 63 69 66 69 63 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 27 73 20 54 49 45 20 specific.Xtensa.processor's.TIE.
ce0e0 65 78 74 65 6e 73 69 6f 6e 73 0a 20 20 20 74 68 61 74 20 65 78 74 65 6e 64 20 62 61 73 69 63 20 extensions....that.extend.basic.
ce100 58 74 65 6e 73 61 20 63 6f 72 65 20 66 75 6e 63 74 69 6f 6e 61 6c 69 74 79 2e 20 20 49 74 20 69 Xtensa.core.functionality...It.i
ce120 73 20 63 75 73 74 6f 6d 69 7a 65 64 20 74 6f 20 74 68 69 73 0a 20 20 20 58 74 65 6e 73 61 20 70 s.customized.to.this....Xtensa.p
ce140 72 6f 63 65 73 73 6f 72 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 2e 0a 0a 20 20 20 43 6f 70 79 rocessor.configuration......Copy
ce160 72 69 67 68 74 20 28 63 29 20 31 39 39 39 2d 32 30 31 36 20 43 61 64 65 6e 63 65 20 44 65 73 69 right.(c).1999-2016.Cadence.Desi
ce180 67 6e 20 53 79 73 74 65 6d 73 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 73 73 69 6f 6e 20 69 gn.Systems.Inc......Permission.i
ce1a0 73 20 68 65 72 65 62 79 20 67 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 20 63 68 61 72 67 65 s.hereby.granted,.free.of.charge
ce1c0 2c 20 74 6f 20 61 6e 79 20 70 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 0a 20 20 20 61 20 63 ,.to.any.person.obtaining....a.c
ce1e0 6f 70 79 20 6f 66 20 74 68 69 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 61 73 73 6f 63 69 61 opy.of.this.software.and.associa
ce200 74 65 64 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 74 68 65 0a 20 20 20 ted.documentation.files.(the....
ce220 22 53 6f 66 74 77 61 72 65 22 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 68 65 20 53 6f 66 74 "Software"),.to.deal.in.the.Soft
ce240 77 61 72 65 20 77 69 74 68 6f 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c 20 69 6e 63 6c 75 64 ware.without.restriction,.includ
ce260 69 6e 67 0a 20 20 20 77 69 74 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e 20 74 68 65 20 72 69 ing....without.limitation.the.ri
ce280 67 68 74 73 20 74 6f 20 75 73 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 2c 20 6d 65 72 67 65 ghts.to.use,.copy,.modify,.merge
ce2a0 2c 20 70 75 62 6c 69 73 68 2c 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c 20 73 75 62 6c 69 63 ,.publish,....distribute,.sublic
ce2c0 65 6e 73 65 2c 20 61 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 6f 66 20 74 68 65 20 ense,.and/or.sell.copies.of.the.
ce2e0 53 6f 66 74 77 61 72 65 2c 20 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 74 20 70 65 72 73 6f Software,.and.to....permit.perso
ce300 6e 73 20 74 6f 20 77 68 6f 6d 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 73 20 66 75 72 6e 69 ns.to.whom.the.Software.is.furni
ce320 73 68 65 64 20 74 6f 20 64 6f 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f 0a 20 20 20 74 68 65 shed.to.do.so,.subject.to....the
ce340 20 66 6f 6c 6c 6f 77 69 6e 67 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 20 20 54 68 65 20 61 .following.conditions:.....The.a
ce360 62 6f 76 65 20 63 6f 70 79 72 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 20 74 68 69 73 20 70 bove.copyright.notice.and.this.p
ce380 65 72 6d 69 73 73 69 6f 6e 20 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 20 69 6e 63 6c 75 64 ermission.notice.shall.be.includ
ce3a0 65 64 0a 20 20 20 69 6e 20 61 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 62 73 74 61 6e 74 69 ed....in.all.copies.or.substanti
ce3c0 61 6c 20 70 6f 72 74 69 6f 6e 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2e 0a 0a 20 20 al.portions.of.the.Software.....
ce3e0 20 54 48 45 20 53 4f 46 54 57 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 20 22 41 53 20 49 53 .THE.SOFTWARE.IS.PROVIDED."AS.IS
ce400 22 2c 20 57 49 54 48 4f 55 54 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e 59 20 4b 49 4e 44 2c ",.WITHOUT.WARRANTY.OF.ANY.KIND,
ce420 0a 20 20 20 45 58 50 52 45 53 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 4e 43 4c 55 44 49 4e ....EXPRESS.OR.IMPLIED,.INCLUDIN
ce440 47 20 42 55 54 20 4e 4f 54 20 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 57 41 52 52 41 4e 54 G.BUT.NOT.LIMITED.TO.THE.WARRANT
ce460 49 45 53 20 4f 46 0a 20 20 20 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 2c 20 46 49 54 4e 45 IES.OF....MERCHANTABILITY,.FITNE
ce480 53 53 20 46 4f 52 20 41 20 50 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 20 41 4e 44 20 SS.FOR.A.PARTICULAR.PURPOSE.AND.
ce4a0 4e 4f 4e 49 4e 46 52 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f 20 45 56 45 4e 54 20 NONINFRINGEMENT.....IN.NO.EVENT.
ce4c0 53 48 41 4c 4c 20 54 48 45 20 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 52 49 47 48 54 20 48 SHALL.THE.AUTHORS.OR.COPYRIGHT.H
ce4e0 4f 4c 44 45 52 53 20 42 45 20 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a 20 20 20 43 4c 41 49 OLDERS.BE.LIABLE.FOR.ANY....CLAI
ce500 4d 2c 20 44 41 4d 41 47 45 53 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 4c 49 54 59 2c 20 57 M,.DAMAGES.OR.OTHER.LIABILITY,.W
ce520 48 45 54 48 45 52 20 49 4e 20 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f 4e 54 52 41 43 54 2c HETHER.IN.AN.ACTION.OF.CONTRACT,
ce540 0a 20 20 20 54 4f 52 54 20 4f 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 49 53 49 4e 47 20 46 ....TORT.OR.OTHERWISE,.ARISING.F
ce560 52 4f 4d 2c 20 4f 55 54 20 4f 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 49 4f 4e 20 57 49 54 ROM,.OUT.OF.OR.IN.CONNECTION.WIT
ce580 48 20 54 48 45 0a 20 20 20 53 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 55 53 45 20 4f 52 20 H.THE....SOFTWARE.OR.THE.USE.OR.
ce5a0 4f 54 48 45 52 20 44 45 41 4c 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 54 57 41 52 45 2e 20 OTHER.DEALINGS.IN.THE.SOFTWARE..
ce5c0 20 2a 2f 0a 0a 23 69 66 6e 64 65 66 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 48 0a .*/..#ifndef._XTENSA_CORE_TIE_H.
ce5e0 23 64 65 66 69 6e 65 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 48 0a 0a 23 64 65 66 #define._XTENSA_CORE_TIE_H..#def
ce600 69 6e 65 20 58 43 48 41 4c 5f 43 50 5f 4e 55 4d 09 09 09 31 09 2f 2a 20 6e 75 6d 62 65 72 20 6f ine.XCHAL_CP_NUM...1./*.number.o
ce620 66 20 63 6f 70 72 6f 63 65 73 73 6f 72 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f f.coprocessors.*/.#define.XCHAL_
ce640 43 50 5f 4d 41 58 09 09 09 38 09 2f 2a 20 6d 61 78 20 43 50 20 49 44 20 2b 20 31 20 28 30 20 69 CP_MAX...8./*.max.CP.ID.+.1.(0.i
ce660 66 20 6e 6f 6e 65 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 5f 4d 41 53 4b f.none).*/.#define.XCHAL_CP_MASK
ce680 09 09 09 30 78 38 30 09 2f 2a 20 62 69 74 6d 61 73 6b 20 6f 66 20 61 6c 6c 20 43 50 73 20 62 79 ...0x80./*.bitmask.of.all.CPs.by
ce6a0 20 49 44 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 5f 50 4f 52 54 5f 4d 41 53 .ID.*/.#define.XCHAL_CP_PORT_MAS
ce6c0 4b 09 09 30 78 38 30 09 2f 2a 20 62 69 74 6d 61 73 6b 20 6f 66 20 6f 6e 6c 79 20 70 6f 72 74 20 K..0x80./*.bitmask.of.only.port.
ce6e0 43 50 73 20 2a 2f 0a 0a 2f 2a 20 20 42 61 73 69 63 20 70 61 72 61 6d 65 74 65 72 73 20 6f 66 20 CPs.*/../*..Basic.parameters.of.
ce700 65 61 63 68 20 63 6f 70 72 6f 63 65 73 73 6f 72 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 each.coprocessor:..*/.#define.XC
ce720 48 41 4c 5f 43 50 37 5f 4e 41 4d 45 09 09 09 22 58 54 49 4f 50 22 0a 23 64 65 66 69 6e 65 20 58 HAL_CP7_NAME..."XTIOP".#define.X
ce740 43 48 41 4c 5f 43 50 37 5f 49 44 45 4e 54 09 09 09 58 54 49 4f 50 0a 23 64 65 66 69 6e 65 20 58 CHAL_CP7_IDENT...XTIOP.#define.X
ce760 43 48 41 4c 5f 43 50 37 5f 53 41 5f 53 49 5a 45 09 09 30 09 2f 2a 20 73 69 7a 65 20 6f 66 20 73 CHAL_CP7_SA_SIZE..0./*.size.of.s
ce780 74 61 74 65 20 73 61 76 65 20 61 72 65 61 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f tate.save.area.*/.#define.XCHAL_
ce7a0 43 50 37 5f 53 41 5f 41 4c 49 47 4e 09 09 31 09 2f 2a 20 6d 69 6e 20 61 6c 69 67 6e 6d 65 6e 74 CP7_SA_ALIGN..1./*.min.alignment
ce7c0 20 6f 66 20 73 61 76 65 20 61 72 65 61 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 .of.save.area.*/.#define.XCHAL_C
ce7e0 50 5f 49 44 5f 58 54 49 4f 50 20 20 20 20 20 20 20 20 20 20 20 09 37 09 2f 2a 20 63 6f 70 72 6f P_ID_XTIOP............7./*.copro
ce800 63 65 73 73 6f 72 20 49 44 20 28 30 2e 2e 37 29 20 2a 2f 0a 0a 2f 2a 20 20 46 69 6c 6c 65 72 20 cessor.ID.(0..7).*/../*..Filler.
ce820 69 6e 66 6f 20 66 6f 72 20 75 6e 61 73 73 69 67 6e 65 64 20 63 6f 70 72 6f 63 65 73 73 6f 72 73 info.for.unassigned.coprocessors
ce840 2c 20 74 6f 20 73 69 6d 70 6c 69 66 79 20 61 72 72 61 79 73 20 65 74 63 3a 20 20 2a 2f 0a 23 64 ,.to.simplify.arrays.etc:..*/.#d
ce860 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 30 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 66 69 efine.XCHAL_CP0_SA_SIZE..0.#defi
ce880 6e 65 20 58 43 48 41 4c 5f 43 50 30 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 23 64 65 66 69 6e 65 ne.XCHAL_CP0_SA_ALIGN..1.#define
ce8a0 20 58 43 48 41 4c 5f 43 50 31 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 .XCHAL_CP1_SA_SIZE..0.#define.XC
ce8c0 48 41 4c 5f 43 50 31 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 HAL_CP1_SA_ALIGN..1.#define.XCHA
ce8e0 4c 5f 43 50 32 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 L_CP2_SA_SIZE..0.#define.XCHAL_C
ce900 50 32 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 33 P2_SA_ALIGN..1.#define.XCHAL_CP3
ce920 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 33 5f 53 41 _SA_SIZE..0.#define.XCHAL_CP3_SA
ce940 5f 41 4c 49 47 4e 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 34 5f 53 41 5f 53 _ALIGN..1.#define.XCHAL_CP4_SA_S
ce960 49 5a 45 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 34 5f 53 41 5f 41 4c 49 47 IZE..0.#define.XCHAL_CP4_SA_ALIG
ce980 4e 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 35 5f 53 41 5f 53 49 5a 45 09 09 N..1.#define.XCHAL_CP5_SA_SIZE..
ce9a0 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 35 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 0.#define.XCHAL_CP5_SA_ALIGN..1.
ce9c0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 36 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 #define.XCHAL_CP6_SA_SIZE..0.#de
ce9e0 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 36 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 0a 2f 2a 20 20 fine.XCHAL_CP6_SA_ALIGN..1../*..
cea00 53 61 76 65 20 61 72 65 61 20 66 6f 72 20 6e 6f 6e 2d 63 6f 70 72 6f 63 65 73 73 6f 72 20 6f 70 Save.area.for.non-coprocessor.op
cea20 74 69 6f 6e 61 6c 20 61 6e 64 20 63 75 73 74 6f 6d 20 28 54 49 45 29 20 73 74 61 74 65 3a 20 20 tional.and.custom.(TIE).state:..
cea40 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 53 41 5f 53 49 5a 45 09 09 33 32 */.#define.XCHAL_NCP_SA_SIZE..32
cea60 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 53 41 5f 41 4c 49 47 4e 09 09 34 0a 0a .#define.XCHAL_NCP_SA_ALIGN..4..
cea80 2f 2a 20 20 54 6f 74 61 6c 20 73 61 76 65 20 61 72 65 61 20 66 6f 72 20 6f 70 74 69 6f 6e 61 6c /*..Total.save.area.for.optional
ceaa0 20 61 6e 64 20 63 75 73 74 6f 6d 20 73 74 61 74 65 20 28 4e 43 50 20 2b 20 43 50 6e 29 3a 20 20 .and.custom.state.(NCP.+.CPn):..
ceac0 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 4f 54 41 4c 5f 53 41 5f 53 49 5a 45 09 09 */.#define.XCHAL_TOTAL_SA_SIZE..
ceae0 33 32 09 2f 2a 20 77 69 74 68 20 31 36 2d 62 79 74 65 20 61 6c 69 67 6e 20 70 61 64 64 69 6e 67 32./*.with.16-byte.align.padding
ceb00 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 4f 54 41 4c 5f 53 41 5f 41 4c 49 47 4e .*/.#define.XCHAL_TOTAL_SA_ALIGN
ceb20 09 09 34 09 2f 2a 20 61 63 74 75 61 6c 20 6d 69 6e 69 6d 75 6d 20 61 6c 69 67 6e 6d 65 6e 74 20 ..4./*.actual.minimum.alignment.
ceb40 2a 2f 0a 0a 2f 2a 0a 20 2a 20 44 65 74 61 69 6c 65 64 20 63 6f 6e 74 65 6e 74 73 20 6f 66 20 73 */../*..*.Detailed.contents.of.s
ceb60 61 76 65 20 61 72 65 61 73 2e 0a 20 2a 20 4e 4f 54 45 3a 20 20 63 61 6c 6c 65 72 20 6d 75 73 74 ave.areas...*.NOTE:..caller.must
ceb80 20 64 65 66 69 6e 65 20 74 68 65 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 20 6d 61 63 72 6f 20 28 .define.the.XCHAL_SA_REG.macro.(
ceba0 6e 6f 74 20 64 65 66 69 6e 65 64 20 68 65 72 65 29 0a 20 2a 20 62 65 66 6f 72 65 20 65 78 70 61 not.defined.here)..*.before.expa
cebc0 6e 64 69 6e 67 20 74 68 65 20 58 43 48 41 4c 5f 78 78 78 5f 53 41 5f 4c 49 53 54 28 29 20 6d 61 nding.the.XCHAL_xxx_SA_LIST().ma
cebe0 63 72 6f 73 2e 0a 20 2a 0a 20 2a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 63 63 75 73 65 cros...*..*.XCHAL_SA_REG(s,ccuse
cec00 64 2c 61 62 69 6b 69 6e 64 2c 6b 69 6e 64 2c 6f 70 74 2c 6e 61 6d 65 2c 67 61 6c 69 67 6e 2c 61 d,abikind,kind,opt,name,galign,a
cec20 6c 69 67 6e 2c 61 73 69 7a 65 2c 0a 20 2a 09 09 64 62 6e 75 6d 2c 62 61 73 65 2c 72 65 67 6e 75 lign,asize,..*..dbnum,base,regnu
cec40 6d 2c 62 69 74 73 7a 2c 67 61 70 73 7a 2c 72 65 73 65 74 2c 78 2e 2e 2e 29 0a 20 2a 0a 20 2a 09 m,bitsz,gapsz,reset,x...)..*..*.
cec60 73 20 3d 20 70 61 73 73 65 64 20 66 72 6f 6d 20 58 43 48 41 4c 5f 2a 5f 4c 49 53 54 28 73 29 2c s.=.passed.from.XCHAL_*_LIST(s),
cec80 20 65 67 2e 20 74 6f 20 73 65 6c 65 63 74 20 68 6f 77 20 74 6f 20 65 78 70 61 6e 64 0a 20 2a 09 .eg..to.select.how.to.expand..*.
ceca0 63 63 75 73 65 64 20 3d 20 73 65 74 20 69 66 20 75 73 65 64 20 62 79 20 63 6f 6d 70 69 6c 65 72 ccused.=.set.if.used.by.compiler
cecc0 20 77 69 74 68 6f 75 74 20 73 70 65 63 69 61 6c 20 6f 70 74 69 6f 6e 73 20 6f 72 20 63 6f 64 65 .without.special.options.or.code
cece0 0a 20 2a 09 61 62 69 6b 69 6e 64 20 3d 20 30 20 28 63 61 6c 6c 65 72 2d 73 61 76 65 64 29 2c 20 ..*.abikind.=.0.(caller-saved),.
ced00 31 20 28 63 61 6c 6c 65 65 2d 73 61 76 65 64 29 2c 20 6f 72 20 32 20 28 74 68 72 65 61 64 2d 67 1.(callee-saved),.or.2.(thread-g
ced20 6c 6f 62 61 6c 29 0a 20 2a 09 6b 69 6e 64 20 3d 20 30 20 28 73 70 65 63 69 61 6c 20 72 65 67 29 lobal)..*.kind.=.0.(special.reg)
ced40 2c 20 31 20 28 54 49 45 20 75 73 65 72 20 72 65 67 29 2c 20 6f 72 20 32 20 28 54 49 45 20 72 65 ,.1.(TIE.user.reg),.or.2.(TIE.re
ced60 67 66 69 6c 65 20 72 65 67 29 0a 20 2a 09 6f 70 74 20 3d 20 30 20 28 63 75 73 74 6f 6d 20 54 49 gfile.reg)..*.opt.=.0.(custom.TI
ced80 45 20 65 78 74 65 6e 73 69 6f 6e 20 6f 72 20 63 6f 70 72 6f 63 65 73 73 6f 72 29 2c 20 6f 72 20 E.extension.or.coprocessor),.or.
ceda0 31 20 28 6f 70 74 69 6f 6e 61 6c 20 72 65 67 29 0a 20 2a 09 6e 61 6d 65 20 3d 20 6c 6f 77 65 72 1.(optional.reg)..*.name.=.lower
cedc0 63 61 73 65 20 72 65 67 20 6e 61 6d 65 20 28 6e 6f 20 71 75 6f 74 65 73 29 0a 20 2a 09 67 61 6c case.reg.name.(no.quotes)..*.gal
cede0 69 67 6e 20 3d 20 67 72 6f 75 70 20 62 79 74 65 20 61 6c 69 67 6e 6d 65 6e 74 20 28 70 6f 77 65 ign.=.group.byte.alignment.(powe
cee00 72 20 6f 66 20 32 29 20 28 67 61 6c 69 67 6e 20 3e 3d 20 61 6c 69 67 6e 29 0a 20 2a 09 61 6c 69 r.of.2).(galign.>=.align)..*.ali
cee20 67 6e 20 3d 20 72 65 67 69 73 74 65 72 20 62 79 74 65 20 61 6c 69 67 6e 6d 65 6e 74 20 28 70 6f gn.=.register.byte.alignment.(po
cee40 77 65 72 20 6f 66 20 32 29 0a 20 2a 09 61 73 69 7a 65 20 3d 20 61 6c 6c 6f 63 61 74 65 64 20 73 wer.of.2)..*.asize.=.allocated.s
cee60 69 7a 65 20 69 6e 20 62 79 74 65 73 20 28 61 73 69 7a 65 2a 38 20 3d 3d 20 62 69 74 73 7a 20 2b ize.in.bytes.(asize*8.==.bitsz.+
cee80 20 67 61 70 73 7a 20 2b 20 70 61 64 73 7a 29 0a 20 2a 09 20 20 28 6e 6f 74 20 69 6e 63 6c 75 64 .gapsz.+.padsz)..*...(not.includ
ceea0 69 6e 67 20 61 6e 79 20 70 61 64 20 62 79 74 65 73 20 72 65 71 75 69 72 65 64 20 74 6f 20 67 61 ing.any.pad.bytes.required.to.ga
ceec0 6c 69 67 6e 20 74 68 69 73 20 6f 72 20 6e 65 78 74 20 72 65 67 29 0a 20 2a 09 64 62 6e 75 6d 20 lign.this.or.next.reg)..*.dbnum.
ceee0 3d 20 75 6e 69 71 75 65 20 74 61 72 67 65 74 20 6e 75 6d 62 65 72 20 66 2f 64 65 62 75 67 20 28 =.unique.target.number.f/debug.(
cef00 73 65 65 20 3c 78 74 65 6e 73 61 2d 6c 69 62 64 62 2d 6d 61 63 72 6f 73 2e 68 3e 29 0a 20 2a 09 see.<xtensa-libdb-macros.h>)..*.
cef20 62 61 73 65 20 3d 20 72 65 67 20 73 68 6f 72 74 6e 61 6d 65 20 77 2f 6f 20 69 6e 64 65 78 20 28 base.=.reg.shortname.w/o.index.(
cef40 6f 72 20 73 72 3d 73 70 65 63 69 61 6c 2c 20 75 72 3d 54 49 45 20 75 73 65 72 20 72 65 67 29 0a or.sr=special,.ur=TIE.user.reg).
cef60 20 2a 09 72 65 67 6e 75 6d 20 3d 20 72 65 67 20 69 6e 64 65 78 20 69 6e 20 72 65 67 66 69 6c 65 .*.regnum.=.reg.index.in.regfile
cef80 2c 20 6f 72 20 73 70 65 63 69 61 6c 2f 54 49 45 2d 75 73 65 72 20 72 65 67 20 6e 75 6d 62 65 72 ,.or.special/TIE-user.reg.number
cefa0 0a 20 2a 09 62 69 74 73 7a 20 3d 20 6e 75 6d 62 65 72 20 6f 66 20 73 69 67 6e 69 66 69 63 61 6e ..*.bitsz.=.number.of.significan
cefc0 74 20 62 69 74 73 20 28 72 65 67 66 69 6c 65 20 77 69 64 74 68 2c 20 6f 72 20 75 72 2f 73 72 20 t.bits.(regfile.width,.or.ur/sr.
cefe0 6d 61 73 6b 20 62 69 74 73 29 0a 20 2a 09 67 61 70 73 7a 20 3d 20 69 6e 74 65 72 76 65 6e 69 6e mask.bits)..*.gapsz.=.intervenin
cf000 67 20 62 69 74 73 2c 20 69 66 20 62 69 74 73 7a 20 62 69 74 73 20 6e 6f 74 20 73 74 6f 72 65 64 g.bits,.if.bitsz.bits.not.stored
cf020 20 63 6f 6e 74 69 67 75 6f 75 73 6c 79 0a 20 2a 09 28 70 61 64 73 7a 20 3d 20 70 61 64 20 62 69 .contiguously..*.(padsz.=.pad.bi
cf040 74 73 20 61 74 20 65 6e 64 20 5b 54 49 45 20 72 65 67 66 69 6c 65 5d 20 6f 72 20 61 74 20 6d 73 ts.at.end.[TIE.regfile].or.at.ms
cf060 62 69 74 73 20 5b 75 72 2c 73 72 5d 20 6f 66 20 61 73 69 7a 65 29 0a 20 2a 09 72 65 73 65 74 20 bits.[ur,sr].of.asize)..*.reset.
cf080 3d 20 72 65 67 69 73 74 65 72 20 72 65 73 65 74 20 76 61 6c 75 65 20 28 6f 72 20 30 20 69 66 20 =.register.reset.value.(or.0.if.
cf0a0 75 6e 64 65 66 69 6e 65 64 20 61 74 20 72 65 73 65 74 29 0a 20 2a 09 78 20 3d 20 72 65 73 65 72 undefined.at.reset)..*.x.=.reser
cf0c0 76 65 64 20 66 6f 72 20 66 75 74 75 72 65 20 75 73 65 20 28 30 20 75 6e 74 69 6c 20 74 68 65 6e ved.for.future.use.(0.until.then
cf0e0 29 0a 20 2a 0a 20 2a 20 20 54 6f 20 66 69 6c 74 65 72 20 6f 75 74 20 63 65 72 74 61 69 6e 20 72 )..*..*..To.filter.out.certain.r
cf100 65 67 69 73 74 65 72 73 2c 20 65 2e 67 2e 20 74 6f 20 65 78 70 61 6e 64 20 6f 6e 6c 79 20 74 68 egisters,.e.g..to.expand.only.th
cf120 65 20 6e 6f 6e 2d 67 6c 6f 62 61 6c 0a 20 2a 20 20 72 65 67 69 73 74 65 72 73 20 75 73 65 64 20 e.non-global..*..registers.used.
cf140 62 79 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 2c 20 79 6f 75 20 63 61 6e 20 64 6f 20 73 6f 6d 65 by.the.compiler,.you.can.do.some
cf160 74 68 69 6e 67 20 6c 69 6b 65 20 74 68 69 73 3a 0a 20 2a 0a 20 2a 20 20 23 64 65 66 69 6e 65 20 thing.like.this:..*..*..#define.
cf180 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 63 63 75 73 65 64 2c 70 2e 2e 2e 29 09 53 45 4c 43 XCHAL_SA_REG(s,ccused,p...).SELC
cf1a0 43 23 23 63 63 75 73 65 64 28 70 29 0a 20 2a 20 20 23 64 65 66 69 6e 65 20 53 45 4c 43 43 30 28 C##ccused(p)..*..#define.SELCC0(
cf1c0 70 2e 2e 2e 29 0a 20 2a 20 20 23 64 65 66 69 6e 65 20 53 45 4c 43 43 31 28 61 62 69 6b 69 6e 64 p...)..*..#define.SELCC1(abikind
cf1e0 2c 70 2e 2e 2e 29 09 53 45 4c 41 4b 23 23 61 62 69 6b 69 6e 64 28 70 29 0a 20 2a 20 20 23 64 65 ,p...).SELAK##abikind(p)..*..#de
cf200 66 69 6e 65 20 53 45 4c 41 4b 30 28 70 2e 2e 2e 29 09 09 52 45 47 28 70 29 0a 20 2a 20 20 23 64 fine.SELAK0(p...)..REG(p)..*..#d
cf220 65 66 69 6e 65 20 53 45 4c 41 4b 31 28 70 2e 2e 2e 29 09 09 52 45 47 28 70 29 0a 20 2a 20 20 23 efine.SELAK1(p...)..REG(p)..*..#
cf240 64 65 66 69 6e 65 20 53 45 4c 41 4b 32 28 70 2e 2e 2e 29 0a 20 2a 20 20 23 64 65 66 69 6e 65 20 define.SELAK2(p...)..*..#define.
cf260 52 45 47 28 6b 69 6e 64 2c 74 69 65 2c 6e 61 6d 65 2c 67 61 6c 6e 2c 61 6c 6e 2c 61 73 7a 2c 63 REG(kind,tie,name,galn,aln,asz,c
cf280 73 7a 2c 64 62 6e 75 6d 2c 62 61 73 65 2c 72 6e 75 6d 2c 62 73 7a 2c 72 73 74 2c 78 2e 2e 2e 29 sz,dbnum,base,rnum,bsz,rst,x...)
cf2a0 20 5c 0a 20 2a 09 09 2e 2e 2e 77 68 61 74 20 79 6f 75 20 77 61 6e 74 20 74 6f 20 65 78 70 61 6e .\..*.....what.you.want.to.expan
cf2c0 64 2e 2e 2e 0a 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 53 41 5f 4e d.....*/..#define.XCHAL_NCP_SA_N
cf2e0 55 4d 09 38 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 53 41 5f 4c 49 53 54 28 73 UM.8.#define.XCHAL_NCP_SA_LIST(s
cf300 29 09 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 31 2c 32 2c 31 2c 31 2c 20 20 20 20 ).\..XCHAL_SA_REG(s,1,2,1,1,....
cf320 20 20 74 68 72 65 61 64 70 74 72 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 33 45 37 2c 20 20 75 72 ..threadptr,.4,.4,.4,0x03E7,..ur
cf340 2c 32 33 31 2c 20 33 32 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 ,231,.32,0,0,0).\..XCHAL_SA_REG(
cf360 73 2c 31 2c 30 2c 30 2c 31 2c 20 20 20 20 20 20 20 20 20 20 61 63 63 6c 6f 2c 20 34 2c 20 34 2c s,1,0,0,1,..........acclo,.4,.4,
cf380 20 34 2c 30 78 30 32 31 30 2c 20 20 73 72 2c 31 36 20 2c 20 33 32 2c 30 2c 30 2c 30 29 20 5c 0a .4,0x0210,..sr,16.,.32,0,0,0).\.
cf3a0 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 31 2c 30 2c 30 2c 31 2c 20 20 20 20 20 20 20 20 .XCHAL_SA_REG(s,1,0,0,1,........
cf3c0 20 20 61 63 63 68 69 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 31 31 2c 20 20 73 72 2c 31 37 20 ..acchi,.4,.4,.4,0x0211,..sr,17.
cf3e0 2c 20 20 38 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 30 2c ,..8,0,0,0).\..XCHAL_SA_REG(s,0,
cf400 30 2c 30 2c 31 2c 20 20 20 20 20 20 73 63 6f 6d 70 61 72 65 31 2c 20 34 2c 20 34 2c 20 34 2c 30 0,0,1,......scompare1,.4,.4,.4,0
cf420 78 30 32 30 43 2c 20 20 73 72 2c 31 32 20 2c 20 33 32 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 x020C,..sr,12.,.32,0,0,0).\..XCH
cf440 41 4c 5f 53 41 5f 52 45 47 28 73 2c 30 2c 30 2c 30 2c 31 2c 20 20 20 20 20 20 20 20 20 20 20 20 AL_SA_REG(s,0,0,0,1,............
cf460 20 6d 30 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 32 30 2c 20 20 73 72 2c 33 32 20 2c 20 33 32 .m0,.4,.4,.4,0x0220,..sr,32.,.32
cf480 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 30 2c 30 2c 30 2c ,0,0,0).\..XCHAL_SA_REG(s,0,0,0,
cf4a0 31 2c 20 20 20 20 20 20 20 20 20 20 20 20 20 6d 31 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 32 1,.............m1,.4,.4,.4,0x022
cf4c0 31 2c 20 20 73 72 2c 33 33 20 2c 20 33 32 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 1,..sr,33.,.32,0,0,0).\..XCHAL_S
cf4e0 41 5f 52 45 47 28 73 2c 30 2c 30 2c 30 2c 31 2c 20 20 20 20 20 20 20 20 20 20 20 20 20 6d 32 2c A_REG(s,0,0,0,1,.............m2,
cf500 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 32 32 2c 20 20 73 72 2c 33 34 20 2c 20 33 32 2c 30 2c 30 .4,.4,.4,0x0222,..sr,34.,.32,0,0
cf520 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 30 2c 30 2c 30 2c 31 2c 20 20 ,0).\..XCHAL_SA_REG(s,0,0,0,1,..
cf540 20 20 20 20 20 20 20 20 20 20 20 6d 33 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 32 33 2c 20 20 ...........m3,.4,.4,.4,0x0223,..
cf560 73 72 2c 33 35 20 2c 20 33 32 2c 30 2c 30 2c 30 29 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c sr,35.,.32,0,0,0)..#define.XCHAL
cf580 5f 43 50 30 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 30 5f _CP0_SA_NUM.0.#define.XCHAL_CP0_
cf5a0 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 SA_LIST(s)./*.empty.*/..#define.
cf5c0 58 43 48 41 4c 5f 43 50 31 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c XCHAL_CP1_SA_NUM.0.#define.XCHAL
cf5e0 5f 43 50 31 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 _CP1_SA_LIST(s)./*.empty.*/..#de
cf600 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 32 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 fine.XCHAL_CP2_SA_NUM.0.#define.
cf620 58 43 48 41 4c 5f 43 50 32 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f XCHAL_CP2_SA_LIST(s)./*.empty.*/
cf640 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 33 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 ..#define.XCHAL_CP3_SA_NUM.0.#de
cf660 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 33 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 fine.XCHAL_CP3_SA_LIST(s)./*.emp
cf680 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 34 5f 53 41 5f 4e 55 4d 09 ty.*/..#define.XCHAL_CP4_SA_NUM.
cf6a0 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 34 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 0.#define.XCHAL_CP4_SA_LIST(s)./
cf6c0 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 35 5f 53 41 *.empty.*/..#define.XCHAL_CP5_SA
cf6e0 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 35 5f 53 41 5f 4c 49 53 54 _NUM.0.#define.XCHAL_CP5_SA_LIST
cf700 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 (s)./*.empty.*/..#define.XCHAL_C
cf720 50 36 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 36 5f 53 41 P6_SA_NUM.0.#define.XCHAL_CP6_SA
cf740 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 _LIST(s)./*.empty.*/..#define.XC
cf760 48 41 4c 5f 43 50 37 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 HAL_CP7_SA_NUM.0.#define.XCHAL_C
cf780 50 37 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 2f 2a 20 42 79 P7_SA_LIST(s)./*.empty.*/../*.By
cf7a0 74 65 20 6c 65 6e 67 74 68 20 6f 66 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 66 72 6f 6d 20 69 74 te.length.of.instruction.from.it
cf7c0 73 20 66 69 72 73 74 20 6e 69 62 62 6c 65 20 28 6f 70 30 20 66 69 65 6c 64 29 2c 20 70 65 72 20 s.first.nibble.(op0.field),.per.
cf7e0 46 4c 49 58 2e 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4f 50 30 5f 46 4f 52 4d FLIX...*/.#define.XCHAL_OP0_FORM
cf800 41 54 5f 4c 45 4e 47 54 48 53 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 AT_LENGTHS.3,3,3,3,3,3,3,3,2,2,2
cf820 2c 32 2c 32 2c 32 2c 33 2c 33 0a 2f 2a 20 42 79 74 65 20 6c 65 6e 67 74 68 20 6f 66 20 69 6e 73 ,2,2,2,3,3./*.Byte.length.of.ins
cf840 74 72 75 63 74 69 6f 6e 20 66 72 6f 6d 20 69 74 73 20 66 69 72 73 74 20 62 79 74 65 2c 20 70 65 truction.from.its.first.byte,.pe
cf860 72 20 46 4c 49 58 2e 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 42 59 54 45 30 5f r.FLIX...*/.#define.XCHAL_BYTE0_
cf880 46 4f 52 4d 41 54 5f 4c 45 4e 47 54 48 53 09 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c FORMAT_LENGTHS.\..3,3,3,3,3,3,3,
cf8a0 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 3,2,2,2,2,2,2,3,3,.3,3,3,3,3,3,3
cf8c0 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c ,3,2,2,2,2,2,2,3,3,\..3,3,3,3,3,
cf8e0 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 3,3,3,2,2,2,2,2,2,3,3,.3,3,3,3,3
cf900 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c ,3,3,3,2,2,2,2,2,2,3,3,\..3,3,3,
cf920 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 3,3,3,3,3,2,2,2,2,2,2,3,3,.3,3,3
cf940 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c ,3,3,3,3,3,2,2,2,2,2,2,3,3,\..3,
cf960 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 3,3,3,3,3,3,3,2,2,2,2,2,2,3,3,.3
cf980 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c ,3,3,3,3,3,3,3,2,2,2,2,2,2,3,3,\
cf9a0 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c ..3,3,3,3,3,3,3,3,2,2,2,2,2,2,3,
cf9c0 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 3,.3,3,3,3,3,3,3,3,2,2,2,2,2,2,3
cf9e0 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c ,3,\..3,3,3,3,3,3,3,3,2,2,2,2,2,
cfa00 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2,3,3,.3,3,3,3,3,3,3,3,2,2,2,2,2
cfa20 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c ,2,3,3,\..3,3,3,3,3,3,3,3,2,2,2,
cfa40 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2,2,2,3,3,.3,3,3,3,3,3,3,3,2,2,2
cfa60 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c ,2,2,2,3,3,\..3,3,3,3,3,3,3,3,2,
cfa80 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2,2,2,2,2,3,3,.3,3,3,3,3,3,3,3,2
cfaa0 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 0a 0a 23 65 6e 64 69 66 20 2f 2a 5f 58 54 45 4e 53 41 ,2,2,2,2,2,3,3..#endif./*_XTENSA
cfac0 5f 43 4f 52 45 5f 54 49 45 5f 48 2a 2f 0a 0a 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 _CORE_TIE_H*/...................
cfae0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfb00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfb20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfb40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfb60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfb80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfba0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfbc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfbe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfc00 6c 69 6e 75 78 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 76 61 72 69 61 6e 74 73 2f 64 63 32 33 33 linux/arch/xtensa/variants/dc233
cfc20 63 5f 63 61 6c 6c 30 2f 69 6e 63 6c 75 64 65 2f 76 61 72 69 61 6e 74 2f 63 6f 72 65 2e 68 00 00 c_call0/include/variant/core.h..
cfc40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfc60 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
cfc80 30 30 36 34 32 33 35 00 31 33 30 31 33 34 32 31 34 36 37 00 30 32 34 36 35 36 00 20 30 00 00 00 0064235.13013421467.024656..0...
cfca0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfcc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfce0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfd00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
cfd20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
cfd40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfd60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfd80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfda0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfdc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfde0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
cfe00 2f 2a 20 0a 20 2a 20 78 74 65 6e 73 61 2f 63 6f 6e 66 69 67 2f 63 6f 72 65 2d 69 73 61 2e 68 20 /*...*.xtensa/config/core-isa.h.
cfe20 2d 2d 20 48 41 4c 20 64 65 66 69 6e 69 74 69 6f 6e 73 20 74 68 61 74 20 61 72 65 20 64 65 70 65 --.HAL.definitions.that.are.depe
cfe40 6e 64 65 6e 74 20 6f 6e 20 58 74 65 6e 73 61 0a 20 2a 09 09 09 09 70 72 6f 63 65 73 73 6f 72 20 ndent.on.Xtensa..*....processor.
cfe60 43 4f 52 45 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 0a 20 2a 0a 20 2a 20 20 53 65 65 20 3c 78 CORE.configuration..*..*..See.<x
cfe80 74 65 6e 73 61 2f 63 6f 6e 66 69 67 2f 63 6f 72 65 2e 68 3e 2c 20 77 68 69 63 68 20 69 6e 63 6c tensa/config/core.h>,.which.incl
cfea0 75 64 65 73 20 74 68 69 73 20 66 69 6c 65 2c 20 66 6f 72 20 6d 6f 72 65 20 64 65 74 61 69 6c 73 udes.this.file,.for.more.details
cfec0 2e 0a 20 2a 2f 0a 0a 2f 2a 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 20 63 6f 72 65 20 ...*/../*.Xtensa.processor.core.
cfee0 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 20 69 6e 66 6f 72 6d 61 74 69 6f 6e 2e 0a 0a 20 20 20 43 configuration.information......C
cff00 6f 70 79 72 69 67 68 74 20 28 63 29 20 31 39 39 39 2d 32 30 31 36 20 54 65 6e 73 69 6c 69 63 61 opyright.(c).1999-2016.Tensilica
cff20 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 79 20 67 .Inc......Permission.is.hereby.g
cff40 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e 79 20 70 ranted,.free.of.charge,.to.any.p
cff60 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 74 68 69 erson.obtaining....a.copy.of.thi
cff80 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 75 6d 65 s.software.and.associated.docume
cffa0 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 72 65 22 ntation.files.(the...."Software"
cffc0 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 74 68 6f ),.to.deal.in.the.Software.witho
cffe0 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 77 69 74 ut.restriction,.including....wit
d0000 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e 20 74 68 65 20 72 69 67 68 74 73 20 74 6f 20 75 73 hout.limitation.the.rights.to.us
d0020 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 73 68 2c e,.copy,.modify,.merge,.publish,
d0040 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 6e 64 2f ....distribute,.sublicense,.and/
d0060 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2c 20 or.sell.copies.of.the.Software,.
d0080 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 68 6f 6d and.to....permit.persons.to.whom
d00a0 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f 20 64 6f .the.Software.is.furnished.to.do
d00c0 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 69 6e 67 .so,.subject.to....the.following
d00e0 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f 70 79 72 .conditions:.....The.above.copyr
d0100 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 6f 6e 20 ight.notice.and.this.permission.
d0120 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 6e 20 61 notice.shall.be.included....in.a
d0140 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 69 6f 6e ll.copies.or.substantial.portion
d0160 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f 46 54 57 s.of.the.Software......THE.SOFTW
d0180 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 4f 55 54 ARE.IS.PROVIDED."AS.IS",.WITHOUT
d01a0 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 52 45 53 .WARRANTY.OF.ANY.KIND,....EXPRES
d01c0 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e 4f 54 20 S.OR.IMPLIED,.INCLUDING.BUT.NOT.
d01e0 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a 20 20 20 LIMITED.TO.THE.WARRANTIES.OF....
d0200 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 MERCHANTABILITY,.FITNESS.FOR.A.P
d0220 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 49 4e 47 ARTICULAR.PURPOSE.AND.NONINFRING
d0240 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 48 45 20 EMENT.....IN.NO.EVENT.SHALL.THE.
d0260 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 42 45 20 AUTHORS.OR.COPYRIGHT.HOLDERS.BE.
d0280 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 47 45 53 LIABLE.FOR.ANY....CLAIM,.DAMAGES
d02a0 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 49 4e 20 .OR.OTHER.LIABILITY,.WHETHER.IN.
d02c0 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 54 20 4f AN.ACTION.OF.CONTRACT,....TORT.O
d02e0 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 54 20 4f R.OTHERWISE,.ARISING.FROM,.OUT.O
d0300 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 20 20 53 F.OR.IN.CONNECTION.WITH.THE....S
d0320 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 45 41 4c OFTWARE.OR.THE.USE.OR.OTHER.DEAL
d0340 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 23 69 66 6e 64 INGS.IN.THE.SOFTWARE...*/..#ifnd
d0360 65 66 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 43 4f 4e 46 49 47 55 52 41 54 49 4f 4e 5f 48 0a ef._XTENSA_CORE_CONFIGURATION_H.
d0380 23 64 65 66 69 6e 65 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 43 4f 4e 46 49 47 55 52 41 54 49 #define._XTENSA_CORE_CONFIGURATI
d03a0 4f 4e 5f 48 0a 0a 0a 2f 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ON_H.../************************
d03c0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
d03e0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 0a 09 20 20 20 20 50 61 72 61 6d 65 ********************......Parame
d0400 74 65 72 73 20 55 73 65 66 75 6c 20 66 6f 72 20 41 6e 79 20 43 6f 64 65 2c 20 55 53 45 52 20 6f ters.Useful.for.Any.Code,.USER.o
d0420 72 20 50 52 49 56 49 4c 45 47 45 44 0a 20 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a r.PRIVILEGED..******************
d0440 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
d0460 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2f 0a 0a 2f 2a 0a **************************/../*.
d0480 20 2a 20 20 4e 6f 74 65 3a 20 20 4d 61 63 72 6f 73 20 6f 66 20 74 68 65 20 66 6f 72 6d 20 58 43 .*..Note:..Macros.of.the.form.XC
d04a0 48 41 4c 5f 48 41 56 45 5f 2a 2a 2a 20 68 61 76 65 20 61 20 76 61 6c 75 65 20 6f 66 20 31 20 69 HAL_HAVE_***.have.a.value.of.1.i
d04c0 66 20 74 68 65 20 6f 70 74 69 6f 6e 20 69 73 0a 20 2a 20 20 63 6f 6e 66 69 67 75 72 65 64 2c 20 f.the.option.is..*..configured,.
d04e0 61 6e 64 20 61 20 76 61 6c 75 65 20 6f 66 20 30 20 6f 74 68 65 72 77 69 73 65 2e 20 20 54 68 65 and.a.value.of.0.otherwise...The
d0500 73 65 20 6d 61 63 72 6f 73 20 61 72 65 20 61 6c 77 61 79 73 20 64 65 66 69 6e 65 64 2e 0a 20 2a se.macros.are.always.defined...*
d0520 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d /.../*--------------------------
d0540 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d0560 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 49 53 41 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d ------------.....ISA...---------
d0580 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d05a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a -----------------------------*/.
d05c0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 45 09 09 09 30 09 2f 2a 20 62 69 .#define.XCHAL_HAVE_BE...0./*.bi
d05e0 67 2d 65 6e 64 69 61 6e 20 62 79 74 65 20 6f 72 64 65 72 69 6e 67 20 2a 2f 0a 23 64 65 66 69 6e g-endian.byte.ordering.*/.#defin
d0600 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 4e 44 4f 57 45 44 09 09 31 09 2f 2a 20 77 69 6e 64 e.XCHAL_HAVE_WINDOWED..1./*.wind
d0620 6f 77 65 64 20 72 65 67 69 73 74 65 72 73 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 owed.registers.option.*/.#define
d0640 20 58 43 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 09 09 09 33 32 09 2f 2a 20 6e 75 6d 20 6f 66 20 .XCHAL_NUM_AREGS...32./*.num.of.
d0660 70 68 79 73 69 63 61 6c 20 61 64 64 72 20 72 65 67 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 physical.addr.regs.*/.#define.XC
d0680 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 5f 4c 4f 47 32 09 09 35 09 2f 2a 20 6c 6f 67 32 28 58 43 HAL_NUM_AREGS_LOG2..5./*.log2(XC
d06a0 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f HAL_NUM_AREGS).*/.#define.XCHAL_
d06c0 4d 41 58 5f 49 4e 53 54 52 55 43 54 49 4f 4e 5f 53 49 5a 45 09 33 09 2f 2a 20 6d 61 78 20 69 6e MAX_INSTRUCTION_SIZE.3./*.max.in
d06e0 73 74 72 20 62 79 74 65 73 20 28 33 2e 2e 38 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 str.bytes.(3..8).*/.#define.XCHA
d0700 4c 5f 48 41 56 45 5f 44 45 42 55 47 09 09 31 09 2f 2a 20 64 65 62 75 67 20 6f 70 74 69 6f 6e 20 L_HAVE_DEBUG..1./*.debug.option.
d0720 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 4e 53 49 54 59 09 09 31 */.#define.XCHAL_HAVE_DENSITY..1
d0740 09 2f 2a 20 31 36 2d 62 69 74 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 ./*.16-bit.instructions.*/.#defi
d0760 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 4f 4f 50 53 09 09 31 09 2f 2a 20 7a 65 72 6f 2d 6f ne.XCHAL_HAVE_LOOPS..1./*.zero-o
d0780 76 65 72 68 65 61 64 20 6c 6f 6f 70 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4c verhead.loops.*/.#define.XCHAL_L
d07a0 4f 4f 50 5f 42 55 46 46 45 52 5f 53 49 5a 45 09 09 30 09 2f 2a 20 7a 65 72 6f 2d 6f 76 2e 20 6c OOP_BUFFER_SIZE..0./*.zero-ov..l
d07c0 6f 6f 70 20 69 6e 73 74 72 20 62 75 66 66 65 72 20 73 69 7a 65 20 2a 2f 0a 23 64 65 66 69 6e 65 oop.instr.buffer.size.*/.#define
d07e0 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 53 41 09 09 09 31 09 2f 2a 20 4e 53 41 2f 4e 53 41 55 20 .XCHAL_HAVE_NSA...1./*.NSA/NSAU.
d0800 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 instructions.*/.#define.XCHAL_HA
d0820 56 45 5f 4d 49 4e 4d 41 58 09 09 31 09 2f 2a 20 4d 49 4e 2f 4d 41 58 20 69 6e 73 74 72 75 63 74 VE_MINMAX..1./*.MIN/MAX.instruct
d0840 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 45 58 54 09 ions.*/.#define.XCHAL_HAVE_SEXT.
d0860 09 09 31 09 2f 2a 20 53 45 58 54 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 ..1./*.SEXT.instruction.*/.#defi
d0880 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 50 42 49 54 53 09 09 30 09 2f 2a 20 44 45 50 42 ne.XCHAL_HAVE_DEPBITS..0./*.DEPB
d08a0 49 54 53 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c ITS.instruction.*/.#define.XCHAL
d08c0 5f 48 41 56 45 5f 43 4c 41 4d 50 53 09 09 31 09 2f 2a 20 43 4c 41 4d 50 53 20 69 6e 73 74 72 75 _HAVE_CLAMPS..1./*.CLAMPS.instru
d08e0 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 31 ction.*/.#define.XCHAL_HAVE_MUL1
d0900 36 09 09 31 09 2f 2a 20 4d 55 4c 31 36 53 2f 4d 55 4c 31 36 55 20 69 6e 73 74 72 75 63 74 69 6f 6..1./*.MUL16S/MUL16U.instructio
d0920 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 09 09 ns.*/.#define.XCHAL_HAVE_MUL32..
d0940 31 09 2f 2a 20 4d 55 4c 4c 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 1./*.MULL.instruction.*/.#define
d0960 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 5f 48 49 47 48 09 09 30 09 2f 2a 20 4d 55 4c .XCHAL_HAVE_MUL32_HIGH..0./*.MUL
d0980 55 48 2f 4d 55 4c 53 48 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 UH/MULSH.instructions.*/.#define
d09a0 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 49 56 33 32 09 09 31 09 2f 2a 20 51 55 4f 53 2f 51 55 4f .XCHAL_HAVE_DIV32..1./*.QUOS/QUO
d09c0 55 2f 52 45 4d 53 2f 52 45 4d 55 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 U/REMS/REMU.instructions.*/.#def
d09e0 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 33 32 52 09 09 09 31 09 2f 2a 20 4c 33 32 52 20 ine.XCHAL_HAVE_L32R...1./*.L32R.
d0a00 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 instruction.*/.#define.XCHAL_HAV
d0a20 45 5f 41 42 53 4f 4c 55 54 45 5f 4c 49 54 45 52 41 4c 53 09 30 09 2f 2a 20 6e 6f 6e 2d 50 43 2d E_ABSOLUTE_LITERALS.0./*.non-PC-
d0a40 72 65 6c 20 28 65 78 74 65 6e 64 65 64 29 20 4c 33 32 52 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 rel.(extended).L32R.*/.#define.X
d0a60 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 53 54 31 36 09 09 30 09 2f 2a 20 43 4f 4e 53 54 31 36 20 CHAL_HAVE_CONST16..0./*.CONST16.
d0a80 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 instruction.*/.#define.XCHAL_HAV
d0aa0 45 5f 41 44 44 58 09 09 09 31 09 2f 2a 20 41 44 44 58 23 2f 53 55 42 58 23 20 69 6e 73 74 72 75 E_ADDX...1./*.ADDX#/SUBX#.instru
d0ac0 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 44 ctions.*/.#define.XCHAL_HAVE_WID
d0ae0 45 5f 42 52 41 4e 43 48 45 53 09 30 09 2f 2a 20 42 2a 2e 57 31 38 20 6f 72 20 42 2a 2e 57 31 35 E_BRANCHES.0./*.B*.W18.or.B*.W15
d0b00 20 69 6e 73 74 72 27 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 .instr's.*/.#define.XCHAL_HAVE_P
d0b20 52 45 44 49 43 54 45 44 5f 42 52 41 4e 43 48 45 53 09 30 09 2f 2a 20 42 5b 45 51 2f 45 51 5a 2f REDICTED_BRANCHES.0./*.B[EQ/EQZ/
d0b40 4e 45 2f 4e 45 5a 5d 54 20 69 6e 73 74 72 27 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 NE/NEZ]T.instr's.*/.#define.XCHA
d0b60 4c 5f 48 41 56 45 5f 43 41 4c 4c 34 41 4e 44 31 32 09 09 31 09 2f 2a 20 28 6f 62 73 6f 6c 65 74 L_HAVE_CALL4AND12..1./*.(obsolet
d0b80 65 20 6f 70 74 69 6f 6e 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f e.option).*/.#define.XCHAL_HAVE_
d0ba0 41 42 53 09 09 09 31 09 2f 2a 20 41 42 53 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 2f 2a ABS...1./*.ABS.instruction.*/./*
d0bc0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 4f 50 43 09 09 30 2a 2f 09 2f 2a 20 #define.XCHAL_HAVE_POPC..0*/./*.
d0be0 50 4f 50 43 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 2f 2a 23 64 65 66 69 6e 65 20 58 43 POPC.instruction.*/./*#define.XC
d0c00 48 41 4c 5f 48 41 56 45 5f 43 52 43 09 09 30 2a 2f 09 2f 2a 20 43 52 43 20 69 6e 73 74 72 75 63 HAL_HAVE_CRC..0*/./*.CRC.instruc
d0c20 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 52 45 4c 45 41 tion.*/.#define.XCHAL_HAVE_RELEA
d0c40 53 45 5f 53 59 4e 43 09 09 31 09 2f 2a 20 4c 33 32 41 49 2f 53 33 32 52 49 20 69 6e 73 74 72 75 SE_SYNC..1./*.L32AI/S32RI.instru
d0c60 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 33 32 ctions.*/.#define.XCHAL_HAVE_S32
d0c80 43 31 49 09 09 31 09 2f 2a 20 53 33 32 43 31 49 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a C1I..1./*.S32C1I.instruction.*/.
d0ca0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 50 45 43 55 4c 41 54 49 4f 4e 09 09 #define.XCHAL_HAVE_SPECULATION..
d0cc0 30 09 2f 2a 20 73 70 65 63 75 6c 61 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 0./*.speculation.*/.#define.XCHA
d0ce0 4c 5f 48 41 56 45 5f 46 55 4c 4c 5f 52 45 53 45 54 09 09 31 09 2f 2a 20 61 6c 6c 20 72 65 67 73 L_HAVE_FULL_RESET..1./*.all.regs
d0d00 2f 73 74 61 74 65 20 72 65 73 65 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 /state.reset.*/.#define.XCHAL_NU
d0d20 4d 5f 43 4f 4e 54 45 58 54 53 09 09 31 09 2f 2a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 M_CONTEXTS..1./*.*/.#define.XCHA
d0d40 4c 5f 4e 55 4d 5f 4d 49 53 43 5f 52 45 47 53 09 09 32 09 2f 2a 20 6e 75 6d 20 6f 66 20 73 63 72 L_NUM_MISC_REGS..2./*.num.of.scr
d0d60 61 74 63 68 20 72 65 67 73 20 28 30 2e 2e 34 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 atch.regs.(0..4).*/.#define.XCHA
d0d80 4c 5f 48 41 56 45 5f 54 41 50 5f 4d 41 53 54 45 52 09 09 30 09 2f 2a 20 4a 54 41 47 20 54 41 50 L_HAVE_TAP_MASTER..0./*.JTAG.TAP
d0da0 20 63 6f 6e 74 72 6f 6c 20 69 6e 73 74 72 27 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 .control.instr's.*/.#define.XCHA
d0dc0 4c 5f 48 41 56 45 5f 50 52 49 44 09 09 09 31 09 2f 2a 20 70 72 6f 63 65 73 73 6f 72 20 49 44 20 L_HAVE_PRID...1./*.processor.ID.
d0de0 72 65 67 69 73 74 65 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 45 register.*/.#define.XCHAL_HAVE_E
d0e00 58 54 45 52 4e 5f 52 45 47 53 09 09 31 09 2f 2a 20 57 45 52 2f 52 45 52 20 69 6e 73 74 72 75 63 XTERN_REGS..1./*.WER/RER.instruc
d0e20 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 58 09 09 tions.*/.#define.XCHAL_HAVE_MX..
d0e40 09 30 09 2f 2a 20 4d 58 20 63 6f 72 65 20 28 54 65 6e 73 69 6c 69 63 61 20 69 6e 74 65 72 6e 61 .0./*.MX.core.(Tensilica.interna
d0e60 6c 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 50 5f 49 4e 54 45 l).*/.#define.XCHAL_HAVE_MP_INTE
d0e80 52 52 55 50 54 53 09 30 09 2f 2a 20 69 6e 74 65 72 72 75 70 74 20 64 69 73 74 72 69 62 75 74 6f RRUPTS.0./*.interrupt.distributo
d0ea0 72 20 70 6f 72 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 50 5f r.port.*/.#define.XCHAL_HAVE_MP_
d0ec0 52 55 4e 53 54 41 4c 4c 09 09 30 09 2f 2a 20 63 6f 72 65 20 52 75 6e 53 74 61 6c 6c 20 63 6f 6e RUNSTALL..0./*.core.RunStall.con
d0ee0 74 72 6f 6c 20 70 6f 72 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f trol.port.*/.#define.XCHAL_HAVE_
d0f00 50 53 4f 09 09 09 30 09 2f 2a 20 50 6f 77 65 72 20 53 68 75 74 2d 4f 66 66 20 2a 2f 0a 23 64 65 PSO...0./*.Power.Shut-Off.*/.#de
d0f20 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 53 4f 5f 43 44 4d 09 09 30 09 2f 2a 20 63 6f fine.XCHAL_HAVE_PSO_CDM..0./*.co
d0f40 72 65 2f 64 65 62 75 67 2f 6d 65 6d 20 70 77 72 20 64 6f 6d 61 69 6e 73 20 2a 2f 0a 23 64 65 66 re/debug/mem.pwr.domains.*/.#def
d0f60 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 53 4f 5f 46 55 4c 4c 5f 52 45 54 45 4e 54 49 4f ine.XCHAL_HAVE_PSO_FULL_RETENTIO
d0f80 4e 09 30 09 2f 2a 20 61 6c 6c 20 72 65 67 73 20 70 72 65 73 65 72 76 65 64 20 6f 6e 20 50 53 4f N.0./*.all.regs.preserved.on.PSO
d0fa0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 48 52 45 41 44 50 54 52 .*/.#define.XCHAL_HAVE_THREADPTR
d0fc0 09 09 31 09 2f 2a 20 54 48 52 45 41 44 50 54 52 20 72 65 67 69 73 74 65 72 20 2a 2f 0a 23 64 65 ..1./*.THREADPTR.register.*/.#de
d0fe0 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 4f 4f 4c 45 41 4e 53 09 09 30 09 2f 2a 20 62 fine.XCHAL_HAVE_BOOLEANS..0./*.b
d1000 6f 6f 6c 65 61 6e 20 72 65 67 69 73 74 65 72 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 oolean.registers.*/.#define.XCHA
d1020 4c 5f 48 41 56 45 5f 43 50 09 09 09 31 09 2f 2a 20 43 50 45 4e 41 42 4c 45 20 72 65 67 20 28 63 L_HAVE_CP...1./*.CPENABLE.reg.(c
d1040 6f 70 72 6f 63 65 73 73 6f 72 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 5f oprocessor).*/.#define.XCHAL_CP_
d1060 4d 41 58 43 46 47 09 09 09 38 09 2f 2a 20 6d 61 78 20 61 6c 6c 6f 77 65 64 20 63 70 20 69 64 20 MAXCFG...8./*.max.allowed.cp.id.
d1080 70 6c 75 73 20 6f 6e 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d plus.one.*/.#define.XCHAL_HAVE_M
d10a0 41 43 31 36 09 09 31 09 2f 2a 20 4d 41 43 31 36 20 70 61 63 6b 61 67 65 20 2a 2f 0a 0a 23 64 65 AC16..1./*.MAC16.package.*/..#de
d10c0 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 09 09 20 30 09 2f 2a 20 46 75 fine.XCHAL_HAVE_FUSION...0./*.Fu
d10e0 73 69 6f 6e 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e sion*/.#define.XCHAL_HAVE_FUSION
d1100 5f 46 50 09 20 30 09 20 20 20 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 46 50 20 6f 70 74 69 _FP..0........./*.Fusion.FP.opti
d1120 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f on.*/.#define.XCHAL_HAVE_FUSION_
d1140 4c 4f 57 5f 50 4f 57 45 52 20 30 09 2f 2a 20 46 75 73 69 6f 6e 20 4c 6f 77 20 50 6f 77 65 72 20 LOW_POWER.0./*.Fusion.Low.Power.
d1160 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 option.*/.#define.XCHAL_HAVE_FUS
d1180 49 4f 4e 5f 41 45 53 09 20 30 09 20 20 20 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 42 4c 45 ION_AES..0........./*.Fusion.BLE
d11a0 2f 57 69 66 69 20 41 45 53 2d 31 32 38 20 43 43 4d 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 /Wifi.AES-128.CCM.option.*/.#def
d11c0 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f 43 4f 4e 56 45 4e 43 09 20 30 ine.XCHAL_HAVE_FUSION_CONVENC..0
d11e0 20 20 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 43 6f 6e 76 20 45 6e 63 6f 64 65 20 6f 70 74 ......./*.Fusion.Conv.Encode.opt
d1200 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e ion.*/.#define.XCHAL_HAVE_FUSION
d1220 5f 4c 46 53 52 5f 43 52 43 09 20 30 09 2f 2a 20 46 75 73 69 6f 6e 20 4c 46 53 52 2d 43 52 43 20 _LFSR_CRC..0./*.Fusion.LFSR-CRC.
d1240 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 option.*/.#define.XCHAL_HAVE_FUS
d1260 49 4f 4e 5f 42 49 54 4f 50 53 09 20 30 09 2f 2a 20 46 75 73 69 6f 6e 20 42 69 74 20 4f 70 65 72 ION_BITOPS..0./*.Fusion.Bit.Oper
d1280 61 74 69 6f 6e 73 20 53 75 70 70 6f 72 74 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 ations.Support.option.*/.#define
d12a0 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f 41 56 53 09 20 30 09 2f 2a 20 46 75 73 .XCHAL_HAVE_FUSION_AVS..0./*.Fus
d12c0 69 6f 6e 20 41 56 53 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f ion.AVS.option.*/.#define.XCHAL_
d12e0 48 41 56 45 5f 46 55 53 49 4f 4e 5f 31 36 42 49 54 5f 42 41 53 45 42 41 4e 44 09 20 30 09 2f 2a HAVE_FUSION_16BIT_BASEBAND..0./*
d1300 20 46 75 73 69 6f 6e 20 31 36 2d 62 69 74 20 42 61 73 65 62 61 6e 64 20 6f 70 74 69 6f 6e 20 2a .Fusion.16-bit.Baseband.option.*
d1320 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f 56 49 54 45 /.#define.XCHAL_HAVE_FUSION_VITE
d1340 52 42 49 20 20 20 20 20 20 20 20 30 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 56 69 74 65 72 RBI........0...../*.Fusion.Viter
d1360 62 69 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f bi.option.*/.#define.XCHAL_HAVE_
d1380 46 55 53 49 4f 4e 5f 53 4f 46 54 44 45 4d 41 50 20 20 20 20 20 20 30 20 20 20 2f 2a 20 46 75 73 FUSION_SOFTDEMAP......0.../*.Fus
d13a0 69 6f 6e 20 53 6f 66 74 20 42 69 74 20 44 65 6d 61 70 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 ion.Soft.Bit.Demap.option.*/.#de
d13c0 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 50 52 4f 09 09 30 09 2f 2a 20 48 69 fine.XCHAL_HAVE_HIFIPRO..0./*.Hi
d13e0 46 69 50 72 6f 20 41 75 64 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e FiPro.Audio.Engine.pkg.*/.#defin
d1400 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 34 09 09 30 09 2f 2a 20 48 69 46 69 34 20 41 e.XCHAL_HAVE_HIFI4..0./*.HiFi4.A
d1420 75 64 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c udio.Engine.pkg.*/.#define.XCHAL
d1440 5f 48 41 56 45 5f 48 49 46 49 34 5f 56 46 50 55 09 09 30 09 2f 2a 20 48 69 46 69 34 20 41 75 64 _HAVE_HIFI4_VFPU..0./*.HiFi4.Aud
d1460 69 6f 20 45 6e 67 69 6e 65 20 56 46 50 55 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 io.Engine.VFPU.option.*/.#define
d1480 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 33 09 09 30 09 2f 2a 20 48 69 46 69 33 20 41 75 .XCHAL_HAVE_HIFI3..0./*.HiFi3.Au
d14a0 64 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f dio.Engine.pkg.*/.#define.XCHAL_
d14c0 48 41 56 45 5f 48 49 46 49 33 5f 56 46 50 55 09 09 30 09 2f 2a 20 48 69 46 69 33 20 41 75 64 69 HAVE_HIFI3_VFPU..0./*.HiFi3.Audi
d14e0 6f 20 45 6e 67 69 6e 65 20 56 46 50 55 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 o.Engine.VFPU.option.*/.#define.
d1500 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 32 09 09 30 09 2f 2a 20 48 69 46 69 32 20 41 75 64 XCHAL_HAVE_HIFI2..0./*.HiFi2.Aud
d1520 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 io.Engine.pkg.*/.#define.XCHAL_H
d1540 41 56 45 5f 48 49 46 49 32 45 50 09 09 30 09 2f 2a 20 48 69 46 69 32 45 50 20 2a 2f 0a 23 64 65 AVE_HIFI2EP..0./*.HiFi2EP.*/.#de
d1560 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 5f 4d 49 4e 49 09 09 30 09 0a 0a 0a fine.XCHAL_HAVE_HIFI_MINI..0....
d1580 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 4f 52 46 50 55 32 30 30 35 #define.XCHAL_HAVE_VECTORFPU2005
d15a0 09 30 09 2f 2a 20 76 65 63 74 6f 72 20 6f 72 20 75 73 65 72 20 66 6c 6f 61 74 69 6e 67 2d 70 6f .0./*.vector.or.user.floating-po
d15c0 69 6e 74 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 55 53 int.pkg.*/.#define.XCHAL_HAVE_US
d15e0 45 52 5f 44 50 46 50 55 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 20 20 2f 2a 20 75 73 65 72 ER_DPFPU.........0......./*.user
d1600 20 44 50 20 66 6c 6f 61 74 69 6e 67 2d 70 6f 69 6e 74 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e .DP.floating-point.pkg.*/.#defin
d1620 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 55 53 45 52 5f 53 50 46 50 55 20 20 20 20 20 20 20 20 20 e.XCHAL_HAVE_USER_SPFPU.........
d1640 30 20 20 20 20 20 20 20 2f 2a 20 75 73 65 72 20 44 50 20 66 6c 6f 61 74 69 6e 67 2d 70 6f 69 6e 0......./*.user.DP.floating-poin
d1660 74 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 20 20 t.pkg.*/.#define.XCHAL_HAVE_FP..
d1680 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 20 2f 2a 20 73 69 6e 67 6c 65 20 ...............0....../*.single.
d16a0 70 72 65 63 20 66 6c 6f 61 74 69 6e 67 20 70 6f 69 6e 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 prec.floating.point.*/.#define.X
d16c0 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 44 49 56 20 20 20 20 20 20 20 20 20 20 20 20 20 30 20 20 CHAL_HAVE_FP_DIV.............0..
d16e0 2f 2a 20 46 50 20 77 69 74 68 20 44 49 56 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 /*.FP.with.DIV.instructions.*/.#
d1700 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 45 43 49 50 20 20 20 20 20 20 define.XCHAL_HAVE_FP_RECIP......
d1720 20 20 20 20 20 30 20 20 20 20 20 20 20 20 2f 2a 20 46 50 20 77 69 74 68 20 52 45 43 49 50 20 69 .....0......../*.FP.with.RECIP.i
d1740 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 nstructions.*/.#define.XCHAL_HAV
d1760 45 5f 46 50 5f 53 51 52 54 20 20 20 20 20 20 20 20 20 20 20 20 30 20 2f 2a 20 46 50 20 77 69 74 E_FP_SQRT............0./*.FP.wit
d1780 68 20 53 51 52 54 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 h.SQRT.instructions.*/.#define.X
d17a0 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 53 51 52 54 20 20 20 20 20 20 20 20 20 20 20 30 20 20 CHAL_HAVE_FP_RSQRT...........0..
d17c0 20 20 20 20 20 20 2f 2a 20 46 50 20 77 69 74 68 20 52 53 51 52 54 20 69 6e 73 74 72 75 63 74 69 ....../*.FP.with.RSQRT.instructi
d17e0 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 20 20 20 ons.*/.#define.XCHAL_HAVE_DFP...
d1800 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 2f 2a 20 64 6f .....................0...../*.do
d1820 75 62 6c 65 20 70 72 65 63 69 73 69 6f 6e 20 46 50 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 uble.precision.FP.pkg.*/.#define
d1840 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 44 49 56 20 20 20 20 20 20 20 20 20 20 20 20 30 .XCHAL_HAVE_DFP_DIV............0
d1860 20 2f 2a 20 44 46 50 20 77 69 74 68 20 44 49 56 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f ./*.DFP.with.DIV.instructions.*/
d1880 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 52 45 43 49 50 20 20 20 .#define.XCHAL_HAVE_DFP_RECIP...
d18a0 20 20 20 20 20 20 20 30 20 20 20 20 20 20 20 2f 2a 20 44 46 50 20 77 69 74 68 20 52 45 43 49 50 .......0......./*.DFP.with.RECIP
d18c0 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 .instructions*/.#define.XCHAL_HA
d18e0 56 45 5f 44 46 50 5f 53 51 52 54 20 20 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 20 20 20 2f VE_DFP_SQRT...........0......../
d1900 2a 20 44 46 50 20 77 69 74 68 20 53 51 52 54 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a *.DFP.with.SQRT.instructions.*/.
d1920 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 52 53 51 52 54 20 20 20 20 #define.XCHAL_HAVE_DFP_RSQRT....
d1940 20 20 20 20 20 20 30 20 20 20 20 20 20 20 2f 2a 20 44 46 50 20 77 69 74 68 20 52 53 51 52 54 20 ......0......./*.DFP.with.RSQRT.
d1960 69 6e 73 74 72 75 63 74 69 6f 6e 73 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 instructions*/.#define.XCHAL_HAV
d1980 45 5f 44 46 50 5f 41 43 43 45 4c 09 09 30 09 2f 2a 20 64 6f 75 62 6c 65 20 70 72 65 63 69 73 69 E_DFP_ACCEL..0./*.double.precisi
d19a0 6f 6e 20 46 50 20 61 63 63 65 6c 65 72 61 74 69 6f 6e 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e on.FP.acceleration.pkg.*/.#defin
d19c0 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 61 63 63 65 6c 09 09 58 43 48 41 4c 5f 48 41 e.XCHAL_HAVE_DFP_accel..XCHAL_HA
d19e0 56 45 5f 44 46 50 5f 41 43 43 45 4c 09 09 09 09 2f 2a 20 66 6f 72 20 62 61 63 6b 77 61 72 64 20 VE_DFP_ACCEL..../*.for.backward.
d1a00 63 6f 6d 70 61 74 69 62 69 6c 69 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f compatibility.*/..#define.XCHAL_
d1a20 48 41 56 45 5f 44 46 50 55 5f 53 49 4e 47 4c 45 5f 4f 4e 4c 59 20 20 20 20 30 20 20 20 20 20 20 HAVE_DFPU_SINGLE_ONLY....0......
d1a40 20 20 20 20 20 20 20 20 20 20 20 09 2f 2a 20 44 46 50 55 20 43 6f 70 72 6f 63 65 73 73 6f 72 2c ............/*.DFPU.Coprocessor,
d1a60 20 73 69 6e 67 6c 65 20 70 72 65 63 69 73 69 6f 6e 20 6f 6e 6c 79 20 2a 2f 0a 23 64 65 66 69 6e .single.precision.only.*/.#defin
d1a80 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 55 5f 53 49 4e 47 4c 45 5f 44 4f 55 42 4c 45 20 e.XCHAL_HAVE_DFPU_SINGLE_DOUBLE.
d1aa0 20 30 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 09 2f 2a 20 44 46 50 55 20 43 6f 70 72 6f 63 .0................/*.DFPU.Coproc
d1ac0 65 73 73 6f 72 2c 20 73 69 6e 67 6c 65 20 61 6e 64 20 64 6f 75 62 6c 65 20 70 72 65 63 69 73 69 essor,.single.and.double.precisi
d1ae0 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 52 41 31 on.*/.#define.XCHAL_HAVE_VECTRA1
d1b00 09 09 30 09 2f 2a 20 56 65 63 74 72 61 20 49 20 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 ..0./*.Vectra.I..pkg.*/.#define.
d1b20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 52 41 4c 58 09 09 30 09 2f 2a 20 56 65 63 74 72 61 XCHAL_HAVE_VECTRALX..0./*.Vectra
d1b40 20 4c 58 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 44 .LX.pkg.*/.#define.XCHAL_HAVE_PD
d1b60 58 34 09 09 20 20 20 20 20 20 20 20 30 09 2f 2a 20 50 44 58 34 20 2a 2f 0a 23 64 65 66 69 6e 65 X4..........0./*.PDX4.*/.#define
d1b80 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 4e 58 44 32 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 .XCHAL_HAVE_CONNXD2..0./*.ConnX.
d1ba0 44 32 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e D2.pkg.*/.#define.XCHAL_HAVE_CON
d1bc0 4e 58 44 32 5f 44 55 41 4c 4c 53 46 4c 49 58 20 20 20 30 09 2f 2a 20 43 6f 6e 6e 58 20 44 32 20 NXD2_DUALLSFLIX...0./*.ConnX.D2.
d1be0 26 20 44 75 61 6c 20 4c 6f 61 64 53 74 6f 72 65 20 46 6c 69 78 20 2a 2f 0a 23 64 65 66 69 6e 65 &.Dual.LoadStore.Flix.*/.#define
d1c00 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 42 45 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 42 42 .XCHAL_HAVE_BBE16..0./*.ConnX.BB
d1c20 45 31 36 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 42 E16.pkg.*/.#define.XCHAL_HAVE_BB
d1c40 45 31 36 5f 52 53 51 52 54 09 09 30 09 2f 2a 20 42 42 45 31 36 20 26 20 76 65 63 74 6f 72 20 72 E16_RSQRT..0./*.BBE16.&.vector.r
d1c60 65 63 69 70 20 73 71 72 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f ecip.sqrt.*/.#define.XCHAL_HAVE_
d1c80 42 42 45 31 36 5f 56 45 43 44 49 56 09 09 30 09 2f 2a 20 42 42 45 31 36 20 26 20 76 65 63 74 6f BBE16_VECDIV..0./*.BBE16.&.vecto
d1ca0 72 20 64 69 76 69 64 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 r.divide.*/.#define.XCHAL_HAVE_B
d1cc0 42 45 31 36 5f 44 45 53 50 52 45 41 44 09 30 09 2f 2a 20 42 42 45 31 36 20 26 20 64 65 73 70 72 BE16_DESPREAD.0./*.BBE16.&.despr
d1ce0 65 61 64 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 42 45 4e 45 50 ead.*/.#define.XCHAL_HAVE_BBENEP
d1d00 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 42 42 45 4e 45 50 20 70 6b 67 73 20 2a 2f 0a 23 64 65 66 ..0./*.ConnX.BBENEP.pkgs.*/.#def
d1d20 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 53 50 33 09 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 ine.XCHAL_HAVE_BSP3...0./*.ConnX
d1d40 20 42 53 50 33 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f .BSP3.pkg.*/.#define.XCHAL_HAVE_
d1d60 42 53 50 33 5f 54 52 41 4e 53 50 4f 53 45 09 30 09 2f 2a 20 42 53 50 33 20 26 20 74 72 61 6e 73 BSP3_TRANSPOSE.0./*.BSP3.&.trans
d1d80 70 6f 73 65 33 32 78 33 32 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f pose32x32.*/.#define.XCHAL_HAVE_
d1da0 53 53 50 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 53 53 50 31 36 20 70 6b 67 20 2a 2f 0a 23 SSP16..0./*.ConnX.SSP16.pkg.*/.#
d1dc0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 53 50 31 36 5f 56 49 54 45 52 42 49 09 define.XCHAL_HAVE_SSP16_VITERBI.
d1de0 30 09 2f 2a 20 53 53 50 31 36 20 26 20 76 69 74 65 72 62 69 20 2a 2f 0a 23 64 65 66 69 6e 65 20 0./*.SSP16.&.viterbi.*/.#define.
d1e00 58 43 48 41 4c 5f 48 41 56 45 5f 54 55 52 42 4f 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 54 XCHAL_HAVE_TURBO16..0./*.ConnX.T
d1e20 75 72 62 6f 31 36 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 urbo16.pkg.*/.#define.XCHAL_HAVE
d1e40 5f 42 42 50 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 42 42 50 31 36 20 70 6b 67 20 2a 2f 0a _BBP16..0./*.ConnX.BBP16.pkg.*/.
d1e60 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 4c 49 58 33 09 09 30 09 2f 2a 20 62 #define.XCHAL_HAVE_FLIX3..0./*.b
d1e80 61 73 69 63 20 33 2d 77 61 79 20 46 4c 49 58 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e asic.3-way.FLIX.option.*/.#defin
d1ea0 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 47 52 49 56 50 45 50 20 20 20 20 20 20 20 20 20 20 20 20 e.XCHAL_HAVE_GRIVPEP............
d1ec0 20 20 30 20 20 20 2f 2a 20 20 47 52 49 56 50 45 50 20 69 73 20 47 65 6e 65 72 61 6c 20 52 65 6c ..0.../*..GRIVPEP.is.General.Rel
d1ee0 65 61 73 65 20 6f 66 20 49 56 50 45 50 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 ease.of.IVPEP.*/.#define.XCHAL_H
d1f00 41 56 45 5f 47 52 49 56 50 45 50 5f 48 49 53 54 4f 47 52 41 4d 20 20 20 20 30 20 20 20 2f 2a 20 AVE_GRIVPEP_HISTOGRAM....0.../*.
d1f20 48 69 73 74 6f 67 72 61 6d 20 6f 70 74 69 6f 6e 20 6f 6e 20 47 52 49 56 50 45 50 20 2a 2f 0a 0a Histogram.option.on.GRIVPEP.*/..
d1f40 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ./*-----------------------------
d1f60 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d1f80 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 4d 49 53 43 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ---------.....MISC...-----------
d1fa0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d1fc0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 ---------------------------*/..#
d1fe0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 4c 4f 41 44 53 54 4f 52 45 5f 55 4e 49 54 53 define.XCHAL_NUM_LOADSTORE_UNITS
d2000 09 31 09 2f 2a 20 6c 6f 61 64 2f 73 74 6f 72 65 20 75 6e 69 74 73 20 2a 2f 0a 23 64 65 66 69 6e .1./*.load/store.units.*/.#defin
d2020 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 57 52 49 54 45 42 55 46 46 45 52 5f 45 4e 54 52 49 45 53 09 e.XCHAL_NUM_WRITEBUFFER_ENTRIES.
d2040 38 09 2f 2a 20 73 69 7a 65 20 6f 66 20 77 72 69 74 65 20 62 75 66 66 65 72 20 2a 2f 0a 23 64 65 8./*.size.of.write.buffer.*/.#de
d2060 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 53 54 5f 46 45 54 43 48 5f 57 49 44 54 48 09 09 34 09 2f fine.XCHAL_INST_FETCH_WIDTH..4./
d2080 2a 20 69 6e 73 74 72 2d 66 65 74 63 68 20 77 69 64 74 68 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a *.instr-fetch.width.in.bytes.*/.
d20a0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 41 54 41 5f 57 49 44 54 48 09 09 34 09 2f 2a 20 64 #define.XCHAL_DATA_WIDTH..4./*.d
d20c0 61 74 61 20 77 69 64 74 68 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 ata.width.in.bytes.*/.#define.XC
d20e0 48 41 4c 5f 44 41 54 41 5f 50 49 50 45 5f 44 45 4c 41 59 09 09 31 09 2f 2a 20 64 2d 73 69 64 65 HAL_DATA_PIPE_DELAY..1./*.d-side
d2100 20 70 69 70 65 6c 69 6e 65 20 64 65 6c 61 79 0a 09 09 09 09 09 09 20 20 20 28 31 20 3d 20 35 2d .pipeline.delay..........(1.=.5-
d2120 73 74 61 67 65 2c 20 32 20 3d 20 37 2d 73 74 61 67 65 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 stage,.2.=.7-stage).*/.#define.X
d2140 43 48 41 4c 5f 43 4c 4f 43 4b 5f 47 41 54 49 4e 47 5f 47 4c 4f 42 41 4c 09 31 09 2f 2a 20 67 6c CHAL_CLOCK_GATING_GLOBAL.1./*.gl
d2160 6f 62 61 6c 20 63 6c 6f 63 6b 20 67 61 74 69 6e 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 obal.clock.gating.*/.#define.XCH
d2180 41 4c 5f 43 4c 4f 43 4b 5f 47 41 54 49 4e 47 5f 46 55 4e 43 55 4e 49 54 09 31 09 2f 2a 20 66 75 AL_CLOCK_GATING_FUNCUNIT.1./*.fu
d21a0 6e 63 74 2e 20 75 6e 69 74 20 63 6c 6f 63 6b 20 67 61 74 69 6e 67 20 2a 2f 0a 2f 2a 20 20 49 6e nct..unit.clock.gating.*/./*..In
d21c0 20 54 31 30 35 30 2c 20 61 70 70 6c 69 65 73 20 74 6f 20 73 65 6c 65 63 74 65 64 20 63 6f 72 65 .T1050,.applies.to.selected.core
d21e0 20 6c 6f 61 64 20 61 6e 64 20 73 74 6f 72 65 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 28 73 65 .load.and.store.instructions.(se
d2200 65 20 49 53 41 29 3a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 4e 41 4c 49 47 4e e.ISA):.*/.#define.XCHAL_UNALIGN
d2220 45 44 5f 4c 4f 41 44 5f 45 58 43 45 50 54 49 4f 4e 09 31 09 2f 2a 20 75 6e 61 6c 69 67 6e 65 64 ED_LOAD_EXCEPTION.1./*.unaligned
d2240 20 6c 6f 61 64 73 20 63 61 75 73 65 20 65 78 63 2e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 .loads.cause.exc..*/.#define.XCH
d2260 41 4c 5f 55 4e 41 4c 49 47 4e 45 44 5f 53 54 4f 52 45 5f 45 58 43 45 50 54 49 4f 4e 09 31 09 2f AL_UNALIGNED_STORE_EXCEPTION.1./
d2280 2a 20 75 6e 61 6c 69 67 6e 65 64 20 73 74 6f 72 65 73 20 63 61 75 73 65 20 65 78 63 2e 2a 2f 0a *.unaligned.stores.cause.exc.*/.
d22a0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 4e 41 4c 49 47 4e 45 44 5f 4c 4f 41 44 5f 48 57 09 #define.XCHAL_UNALIGNED_LOAD_HW.
d22c0 09 30 09 2f 2a 20 75 6e 61 6c 69 67 6e 65 64 20 6c 6f 61 64 73 20 77 6f 72 6b 20 69 6e 20 68 77 .0./*.unaligned.loads.work.in.hw
d22e0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 4e 41 4c 49 47 4e 45 44 5f 53 54 4f 52 .*/.#define.XCHAL_UNALIGNED_STOR
d2300 45 5f 48 57 09 30 09 2f 2a 20 75 6e 61 6c 69 67 6e 65 64 20 73 74 6f 72 65 73 20 77 6f 72 6b 20 E_HW.0./*.unaligned.stores.work.
d2320 69 6e 20 68 77 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 53 57 5f 56 45 52 53 49 4f in.hw*/..#define.XCHAL_SW_VERSIO
d2340 4e 09 09 31 31 30 30 30 30 34 09 2f 2a 20 73 77 20 76 65 72 73 69 6f 6e 20 6f 66 20 74 68 69 73 N..1100004./*.sw.version.of.this
d2360 20 68 65 61 64 65 72 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 4f 52 45 5f 49 .header.*/..#define.XCHAL_CORE_I
d2380 44 09 09 09 22 44 43 5f 32 33 33 4c 5f 63 61 6c 6c 30 22 09 2f 2a 20 61 6c 70 68 61 6e 75 6d 20 D..."DC_233L_call0"./*.alphanum.
d23a0 63 6f 72 65 20 6e 61 6d 65 0a 09 09 09 09 09 09 20 20 20 28 43 6f 72 65 49 44 29 20 73 65 74 20 core.name..........(CoreID).set.
d23c0 69 6e 20 74 68 65 20 58 74 65 6e 73 61 0a 09 09 09 09 09 09 20 20 20 50 72 6f 63 65 73 73 6f 72 in.the.Xtensa..........Processor
d23e0 20 47 65 6e 65 72 61 74 6f 72 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 42 55 49 .Generator.*/..#define.XCHAL_BUI
d2400 4c 44 5f 55 4e 49 51 55 45 5f 49 44 09 09 30 78 30 30 30 33 35 35 32 31 09 2f 2a 20 32 32 2d 62 LD_UNIQUE_ID..0x00035521./*.22-b
d2420 69 74 20 73 77 20 62 75 69 6c 64 20 49 44 20 2a 2f 0a 0a 2f 2a 0a 20 2a 20 20 54 68 65 73 65 20 it.sw.build.ID.*/../*..*..These.
d2440 64 65 66 69 6e 69 74 69 6f 6e 73 20 64 65 73 63 72 69 62 65 20 74 68 65 20 68 61 72 64 77 61 72 definitions.describe.the.hardwar
d2460 65 20 74 61 72 67 65 74 65 64 20 62 79 20 74 68 69 73 20 73 6f 66 74 77 61 72 65 2e 0a 20 2a 2f e.targeted.by.this.software...*/
d2480 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 43 4f 4e 46 49 47 49 44 30 09 09 30 78 43 .#define.XCHAL_HW_CONFIGID0..0xC
d24a0 35 36 37 30 37 46 45 09 2f 2a 20 43 6f 6e 66 69 67 49 44 20 68 69 20 33 32 20 62 69 74 73 2a 2f 56707FE./*.ConfigID.hi.32.bits*/
d24c0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 43 4f 4e 46 49 47 49 44 31 09 09 30 78 31 .#define.XCHAL_HW_CONFIGID1..0x1
d24e0 34 30 30 42 45 45 46 09 2f 2a 20 43 6f 6e 66 69 67 49 44 20 6c 6f 20 33 32 20 62 69 74 73 2a 2f 400BEEF./*.ConfigID.lo.32.bits*/
d2500 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f 4e 5f 4e 41 4d 45 09 09 .#define.XCHAL_HW_VERSION_NAME..
d2520 22 4c 58 36 2e 30 2e 34 22 09 2f 2a 20 66 75 6c 6c 20 76 65 72 73 69 6f 6e 20 6e 61 6d 65 20 2a "LX6.0.4"./*.full.version.name.*
d2540 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f 4e 5f 4d 41 4a 4f 52 /.#define.XCHAL_HW_VERSION_MAJOR
d2560 09 09 32 36 30 30 09 2f 2a 20 6d 61 6a 6f 72 20 76 65 72 23 20 6f 66 20 74 61 72 67 65 74 65 64 ..2600./*.major.ver#.of.targeted
d2580 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f 4e 5f .hw.*/.#define.XCHAL_HW_VERSION_
d25a0 4d 49 4e 4f 52 09 09 34 09 2f 2a 20 6d 69 6e 6f 72 20 76 65 72 23 20 6f 66 20 74 61 72 67 65 74 MINOR..4./*.minor.ver#.of.target
d25c0 65 64 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f ed.hw.*/.#define.XCHAL_HW_VERSIO
d25e0 4e 09 09 32 36 30 30 30 34 09 2f 2a 20 6d 61 6a 6f 72 2a 31 30 30 2b 6d 69 6e 6f 72 20 2a 2f 0a N..260004./*.major*100+minor.*/.
d2600 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 52 45 4c 5f 4c 58 36 09 09 31 0a 23 64 65 66 #define.XCHAL_HW_REL_LX6..1.#def
d2620 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 52 45 4c 5f 4c 58 36 5f 30 09 09 31 0a 23 64 65 66 69 6e ine.XCHAL_HW_REL_LX6_0..1.#defin
d2640 65 20 58 43 48 41 4c 5f 48 57 5f 52 45 4c 5f 4c 58 36 5f 30 5f 34 09 09 31 0a 23 64 65 66 69 6e e.XCHAL_HW_REL_LX6_0_4..1.#defin
d2660 65 20 58 43 48 41 4c 5f 48 57 5f 43 4f 4e 46 49 47 49 44 5f 52 45 4c 49 41 42 4c 45 09 31 0a 2f e.XCHAL_HW_CONFIGID_RELIABLE.1./
d2680 2a 20 20 49 66 20 73 6f 66 74 77 61 72 65 20 74 61 72 67 65 74 73 20 61 20 2a 72 61 6e 67 65 2a *..If.software.targets.a.*range*
d26a0 20 6f 66 20 68 61 72 64 77 61 72 65 20 76 65 72 73 69 6f 6e 73 2c 20 74 68 65 73 65 20 61 72 65 .of.hardware.versions,.these.are
d26c0 20 74 68 65 20 62 6f 75 6e 64 73 3a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 .the.bounds:.*/.#define.XCHAL_HW
d26e0 5f 4d 49 4e 5f 56 45 52 53 49 4f 4e 5f 4d 41 4a 4f 52 09 32 36 30 30 09 2f 2a 20 6d 61 6a 6f 72 _MIN_VERSION_MAJOR.2600./*.major
d2700 20 76 20 6f 66 20 65 61 72 6c 69 65 73 74 20 74 67 74 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 .v.of.earliest.tgt.hw.*/.#define
d2720 20 58 43 48 41 4c 5f 48 57 5f 4d 49 4e 5f 56 45 52 53 49 4f 4e 5f 4d 49 4e 4f 52 09 34 09 2f 2a .XCHAL_HW_MIN_VERSION_MINOR.4./*
d2740 20 6d 69 6e 6f 72 20 76 20 6f 66 20 65 61 72 6c 69 65 73 74 20 74 67 74 20 68 77 20 2a 2f 0a 23 .minor.v.of.earliest.tgt.hw.*/.#
d2760 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 49 4e 5f 56 45 52 53 49 4f 4e 09 09 32 36 30 define.XCHAL_HW_MIN_VERSION..260
d2780 30 30 34 09 2f 2a 20 65 61 72 6c 69 65 73 74 20 74 61 72 67 65 74 65 64 20 68 77 20 2a 2f 0a 23 004./*.earliest.targeted.hw.*/.#
d27a0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 41 58 5f 56 45 52 53 49 4f 4e 5f 4d 41 4a 4f define.XCHAL_HW_MAX_VERSION_MAJO
d27c0 52 09 32 36 30 30 09 2f 2a 20 6d 61 6a 6f 72 20 76 20 6f 66 20 6c 61 74 65 73 74 20 74 67 74 20 R.2600./*.major.v.of.latest.tgt.
d27e0 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 41 58 5f 56 45 52 53 49 hw.*/.#define.XCHAL_HW_MAX_VERSI
d2800 4f 4e 5f 4d 49 4e 4f 52 09 34 09 2f 2a 20 6d 69 6e 6f 72 20 76 20 6f 66 20 6c 61 74 65 73 74 20 ON_MINOR.4./*.minor.v.of.latest.
d2820 74 67 74 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 41 58 5f 56 tgt.hw.*/.#define.XCHAL_HW_MAX_V
d2840 45 52 53 49 4f 4e 09 09 32 36 30 30 30 34 09 2f 2a 20 6c 61 74 65 73 74 20 74 61 72 67 65 74 65 ERSION..260004./*.latest.targete
d2860 64 20 68 77 20 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d d.hw.*/.../*--------------------
d2880 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d28a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 43 41 43 48 45 0a 20 20 2d ------------------.....CACHE...-
d28c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d28e0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d2900 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 -----*/..#define.XCHAL_ICACHE_LI
d2920 4e 45 53 49 5a 45 09 09 33 32 09 2f 2a 20 49 2d 63 61 63 68 65 20 6c 69 6e 65 20 73 69 7a 65 20 NESIZE..32./*.I-cache.line.size.
d2940 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 in.bytes.*/.#define.XCHAL_DCACHE
d2960 5f 4c 49 4e 45 53 49 5a 45 09 09 33 32 09 2f 2a 20 44 2d 63 61 63 68 65 20 6c 69 6e 65 20 73 69 _LINESIZE..32./*.D-cache.line.si
d2980 7a 65 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 ze.in.bytes.*/.#define.XCHAL_ICA
d29a0 43 48 45 5f 4c 49 4e 45 57 49 44 54 48 09 09 35 09 2f 2a 20 6c 6f 67 32 28 49 20 6c 69 6e 65 20 CHE_LINEWIDTH..5./*.log2(I.line.
d29c0 73 69 7a 65 20 69 6e 20 62 79 74 65 73 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f size.in.bytes).*/.#define.XCHAL_
d29e0 44 43 41 43 48 45 5f 4c 49 4e 45 57 49 44 54 48 09 09 35 09 2f 2a 20 6c 6f 67 32 28 44 20 6c 69 DCACHE_LINEWIDTH..5./*.log2(D.li
d2a00 6e 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 29 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 ne.size.in.bytes).*/..#define.XC
d2a20 48 41 4c 5f 49 43 41 43 48 45 5f 53 49 5a 45 09 09 31 36 33 38 34 09 2f 2a 20 49 2d 63 61 63 68 HAL_ICACHE_SIZE..16384./*.I-cach
d2a40 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 6f 72 20 30 20 2a 2f 0a 23 64 65 66 69 6e 65 20 e.size.in.bytes.or.0.*/.#define.
d2a60 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 53 49 5a 45 09 09 31 36 33 38 34 09 2f 2a 20 44 2d 63 61 XCHAL_DCACHE_SIZE..16384./*.D-ca
d2a80 63 68 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 6f 72 20 30 20 2a 2f 0a 0a 23 64 65 66 69 che.size.in.bytes.or.0.*/..#defi
d2aa0 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 49 53 5f 57 52 49 54 45 42 41 43 4b 09 31 09 2f ne.XCHAL_DCACHE_IS_WRITEBACK.1./
d2ac0 2a 20 77 72 69 74 65 62 61 63 6b 20 66 65 61 74 75 72 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 *.writeback.feature.*/.#define.X
d2ae0 43 48 41 4c 5f 44 43 41 43 48 45 5f 49 53 5f 43 4f 48 45 52 45 4e 54 09 30 09 2f 2a 20 4d 50 20 CHAL_DCACHE_IS_COHERENT.0./*.MP.
d2b00 63 6f 68 65 72 65 6e 63 65 20 66 65 61 74 75 72 65 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 coherence.feature.*/..#define.XC
d2b20 48 41 4c 5f 48 41 56 45 5f 50 52 45 46 45 54 43 48 09 09 30 09 2f 2a 20 50 52 45 46 43 54 4c 20 HAL_HAVE_PREFETCH..0./*.PREFCTL.
d2b40 72 65 67 69 73 74 65 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 register.*/.#define.XCHAL_HAVE_P
d2b60 52 45 46 45 54 43 48 5f 4c 31 09 09 30 09 2f 2a 20 70 72 65 66 65 74 63 68 20 74 6f 20 4c 31 20 REFETCH_L1..0./*.prefetch.to.L1.
d2b80 64 63 61 63 68 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 50 52 45 46 45 54 43 48 dcache.*/.#define.XCHAL_PREFETCH
d2ba0 5f 43 41 53 54 4f 55 54 5f 4c 49 4e 45 53 09 30 09 2f 2a 20 64 63 61 63 68 65 20 70 72 65 66 2e _CASTOUT_LINES.0./*.dcache.pref.
d2bc0 20 63 61 73 74 6f 75 74 20 62 75 66 73 7a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f .castout.bufsz.*/.#define.XCHAL_
d2be0 50 52 45 46 45 54 43 48 5f 45 4e 54 52 49 45 53 09 09 30 09 2f 2a 20 63 61 63 68 65 20 70 72 65 PREFETCH_ENTRIES..0./*.cache.pre
d2c00 66 65 74 63 68 20 65 6e 74 72 69 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 50 fetch.entries.*/.#define.XCHAL_P
d2c20 52 45 46 45 54 43 48 5f 42 4c 4f 43 4b 5f 45 4e 54 52 49 45 53 09 30 09 2f 2a 20 70 72 65 66 65 REFETCH_BLOCK_ENTRIES.0./*.prefe
d2c40 74 63 68 20 62 6c 6f 63 6b 20 73 74 72 65 61 6d 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 tch.block.streams.*/.#define.XCH
d2c60 41 4c 5f 48 41 56 45 5f 43 41 43 48 45 5f 42 4c 4f 43 4b 4f 50 53 09 30 09 2f 2a 20 62 6c 6f 63 AL_HAVE_CACHE_BLOCKOPS.0./*.bloc
d2c80 6b 20 70 72 65 66 65 74 63 68 20 66 6f 72 20 63 61 63 68 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 k.prefetch.for.caches.*/.#define
d2ca0 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 43 41 43 48 45 5f 54 45 53 54 09 09 31 09 2f 2a 20 49 63 .XCHAL_HAVE_ICACHE_TEST..1./*.Ic
d2cc0 61 63 68 65 20 74 65 73 74 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e ache.test.instructions.*/.#defin
d2ce0 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 43 41 43 48 45 5f 54 45 53 54 09 09 31 09 2f 2a 20 44 e.XCHAL_HAVE_DCACHE_TEST..1./*.D
d2d00 63 61 63 68 65 20 74 65 73 74 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 cache.test.instructions.*/.#defi
d2d20 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 43 41 43 48 45 5f 44 59 4e 5f 57 41 59 53 09 30 09 ne.XCHAL_HAVE_ICACHE_DYN_WAYS.0.
d2d40 2f 2a 20 49 63 61 63 68 65 20 64 79 6e 61 6d 69 63 20 77 61 79 20 73 75 70 70 6f 72 74 20 2a 2f /*.Icache.dynamic.way.support.*/
d2d60 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 43 41 43 48 45 5f 44 59 4e 5f 57 .#define.XCHAL_HAVE_DCACHE_DYN_W
d2d80 41 59 53 09 30 09 2f 2a 20 44 63 61 63 68 65 20 64 79 6e 61 6d 69 63 20 77 61 79 20 73 75 70 70 AYS.0./*.Dcache.dynamic.way.supp
d2da0 6f 72 74 20 2a 2f 0a 0a 0a 0a 0a 2f 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ort.*/...../********************
d2dc0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
d2de0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 0a 20 20 20 20 50 61 72 ************************.....Par
d2e00 61 6d 65 74 65 72 73 20 55 73 65 66 75 6c 20 66 6f 72 20 50 52 49 56 49 4c 45 47 45 44 20 28 53 ameters.Useful.for.PRIVILEGED.(S
d2e20 75 70 65 72 76 69 73 6f 72 79 20 6f 72 20 4e 6f 6e 2d 56 69 72 74 75 61 6c 69 7a 65 64 29 20 43 upervisory.or.Non-Virtualized).C
d2e40 6f 64 65 0a 20 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ode..***************************
d2e60 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
d2e80 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2f 0a 0a 0a 23 69 66 6e 64 65 66 20 58 54 45 *****************/...#ifndef.XTE
d2ea0 4e 53 41 5f 48 41 4c 5f 4e 4f 4e 5f 50 52 49 56 49 4c 45 47 45 44 5f 4f 4e 4c 59 0a 0a 2f 2a 2d NSA_HAL_NON_PRIVILEGED_ONLY../*-
d2ec0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d2ee0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d2f00 2d 2d 2d 2d 2d 0a 09 09 09 09 43 41 43 48 45 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d -----.....CACHE...--------------
d2f20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d2f40 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 64 65 66 ------------------------*/..#def
d2f60 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 49 46 09 09 09 31 09 2f 2a 20 61 6e 79 20 6f 75 ine.XCHAL_HAVE_PIF...1./*.any.ou
d2f80 74 62 6f 75 6e 64 20 50 49 46 20 70 72 65 73 65 6e 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 tbound.PIF.present.*/.#define.XC
d2fa0 48 41 4c 5f 48 41 56 45 5f 41 58 49 09 09 09 30 09 2f 2a 20 41 58 49 20 62 75 73 20 2a 2f 0a 0a HAL_HAVE_AXI...0./*.AXI.bus.*/..
d2fc0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 49 46 5f 57 52 5f 52 45 53 50 09 09 #define.XCHAL_HAVE_PIF_WR_RESP..
d2fe0 09 30 09 2f 2a 20 70 69 66 20 77 72 69 74 65 20 72 65 73 70 6f 6e 73 65 20 2a 2f 0a 23 64 65 66 .0./*.pif.write.response.*/.#def
d3000 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 49 46 5f 52 45 51 5f 41 54 54 52 09 09 09 30 09 ine.XCHAL_HAVE_PIF_REQ_ATTR...0.
d3020 2f 2a 20 70 69 66 20 61 74 74 72 69 62 75 74 65 20 2a 2f 0a 0a 2f 2a 20 20 49 66 20 70 72 65 73 /*.pif.attribute.*/../*..If.pres
d3040 65 6e 74 2c 20 63 61 63 68 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 3d 3d 20 28 77 61 79 ent,.cache.size.in.bytes.==.(way
d3060 73 20 2a 20 32 5e 28 6c 69 6e 65 77 69 64 74 68 20 2b 20 73 65 74 77 69 64 74 68 29 29 2e 20 20 s.*.2^(linewidth.+.setwidth))...
d3080 2a 2f 0a 0a 2f 2a 20 20 4e 75 6d 62 65 72 20 6f 66 20 63 61 63 68 65 20 73 65 74 73 20 69 6e 20 */../*..Number.of.cache.sets.in.
d30a0 6c 6f 67 32 28 6c 69 6e 65 73 20 70 65 72 20 77 61 79 29 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 log2(lines.per.way):..*/.#define
d30c0 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 53 45 54 57 49 44 54 48 09 09 37 0a 23 64 65 66 69 6e .XCHAL_ICACHE_SETWIDTH..7.#defin
d30e0 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 53 45 54 57 49 44 54 48 09 09 37 0a 0a 2f 2a 20 20 e.XCHAL_DCACHE_SETWIDTH..7../*..
d3100 43 61 63 68 65 20 73 65 74 20 61 73 73 6f 63 69 61 74 69 76 69 74 79 20 28 6e 75 6d 62 65 72 20 Cache.set.associativity.(number.
d3120 6f 66 20 77 61 79 73 29 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 of.ways):..*/.#define.XCHAL_ICAC
d3140 48 45 5f 57 41 59 53 09 09 34 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f HE_WAYS..4.#define.XCHAL_DCACHE_
d3160 57 41 59 53 09 09 34 0a 0a 2f 2a 20 20 43 61 63 68 65 20 66 65 61 74 75 72 65 73 3a 20 20 2a 2f WAYS..4../*..Cache.features:..*/
d3180 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 4e 45 5f 4c 4f 43 4b 41 .#define.XCHAL_ICACHE_LINE_LOCKA
d31a0 42 4c 45 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c 49 4e 45 5f BLE.1.#define.XCHAL_DCACHE_LINE_
d31c0 4c 4f 43 4b 41 42 4c 45 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f LOCKABLE.1.#define.XCHAL_ICACHE_
d31e0 45 43 43 5f 50 41 52 49 54 59 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 ECC_PARITY..0.#define.XCHAL_DCAC
d3200 48 45 5f 45 43 43 5f 50 41 52 49 54 59 09 09 30 0a 0a 2f 2a 20 20 43 61 63 68 65 20 61 63 63 65 HE_ECC_PARITY..0../*..Cache.acce
d3220 73 73 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 28 61 66 66 65 63 74 73 20 6f 70 65 72 61 74 ss.size.in.bytes.(affects.operat
d3240 69 6f 6e 20 6f 66 20 53 49 43 57 20 69 6e 73 74 72 75 63 74 69 6f 6e 29 3a 20 20 2a 2f 0a 23 64 ion.of.SICW.instruction):..*/.#d
d3260 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 41 43 43 45 53 53 5f 53 49 5a 45 09 34 efine.XCHAL_ICACHE_ACCESS_SIZE.4
d3280 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 41 43 43 45 53 53 5f 53 49 5a .#define.XCHAL_DCACHE_ACCESS_SIZ
d32a0 45 09 34 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 42 41 4e 4b 53 09 E.4..#define.XCHAL_DCACHE_BANKS.
d32c0 09 31 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 62 61 6e 6b 73 20 2a 2f 0a 0a 2f 2a 20 20 4e 75 .1./*.number.of.banks.*/../*..Nu
d32e0 6d 62 65 72 20 6f 66 20 65 6e 63 6f 64 65 64 20 63 61 63 68 65 20 61 74 74 72 20 62 69 74 73 20 mber.of.encoded.cache.attr.bits.
d3300 28 73 65 65 20 3c 78 74 65 6e 73 61 2f 68 61 6c 2e 68 3e 20 66 6f 72 20 64 65 63 6f 64 65 64 20 (see.<xtensa/hal.h>.for.decoded.
d3320 62 69 74 73 29 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 41 5f 42 49 54 53 bits):..*/.#define.XCHAL_CA_BITS
d3340 09 09 09 34 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ...4.../*-----------------------
d3360 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d3380 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 49 4e 54 45 52 4e 41 4c 20 49 2f 44 20 ---------------....INTERNAL.I/D.
d33a0 52 41 4d 2f 52 4f 4d 73 20 61 6e 64 20 58 4c 4d 49 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d RAM/ROMs.and.XLMI...------------
d33c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d33e0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 64 --------------------------*/..#d
d3400 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 4e 53 54 52 4f 4d 09 09 30 09 2f 2a 20 6e 75 efine.XCHAL_NUM_INSTROM..0./*.nu
d3420 6d 62 65 72 20 6f 66 20 63 6f 72 65 20 69 6e 73 74 72 2e 20 52 4f 4d 73 20 2a 2f 0a 23 64 65 66 mber.of.core.instr..ROMs.*/.#def
d3440 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 4e 53 54 52 41 4d 09 09 30 09 2f 2a 20 6e 75 6d 62 ine.XCHAL_NUM_INSTRAM..0./*.numb
d3460 65 72 20 6f 66 20 63 6f 72 65 20 69 6e 73 74 72 2e 20 52 41 4d 73 20 2a 2f 0a 23 64 65 66 69 6e er.of.core.instr..RAMs.*/.#defin
d3480 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 44 41 54 41 52 4f 4d 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 e.XCHAL_NUM_DATAROM..0./*.number
d34a0 20 6f 66 20 63 6f 72 65 20 64 61 74 61 20 52 4f 4d 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .of.core.data.ROMs.*/.#define.XC
d34c0 48 41 4c 5f 4e 55 4d 5f 44 41 54 41 52 41 4d 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 HAL_NUM_DATARAM..0./*.number.of.
d34e0 63 6f 72 65 20 64 61 74 61 20 52 41 4d 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f core.data.RAMs.*/.#define.XCHAL_
d3500 4e 55 4d 5f 55 52 41 4d 09 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 63 6f 72 65 20 75 NUM_URAM...0./*.number.of.core.u
d3520 6e 69 66 69 65 64 20 52 41 4d 73 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f nified.RAMs*/.#define.XCHAL_NUM_
d3540 58 4c 4d 49 09 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 63 6f 72 65 20 58 4c 4d 49 20 XLMI...0./*.number.of.core.XLMI.
d3560 70 6f 72 74 73 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 4d 45 ports.*/..#define.XCHAL_HAVE_IME
d3580 4d 5f 4c 4f 41 44 53 54 4f 52 45 09 31 09 2f 2a 20 63 61 6e 20 6c 6f 61 64 2f 73 74 6f 72 65 20 M_LOADSTORE.1./*.can.load/store.
d35a0 74 6f 20 49 52 4f 4d 2f 49 52 41 4d 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d to.IROM/IRAM*/.../*-------------
d35c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d35e0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 49 4e 54 -------------------------....INT
d3600 45 52 52 55 50 54 53 20 61 6e 64 20 54 49 4d 45 52 53 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ERRUPTS.and.TIMERS...-----------
d3620 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d3640 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 ---------------------------*/..#
d3660 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 4e 54 45 52 52 55 50 54 53 09 09 31 09 define.XCHAL_HAVE_INTERRUPTS..1.
d3680 2f 2a 20 69 6e 74 65 72 72 75 70 74 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 /*.interrupt.option.*/.#define.X
d36a0 43 48 41 4c 5f 48 41 56 45 5f 48 49 47 48 50 52 49 5f 49 4e 54 45 52 52 55 50 54 53 09 31 09 2f CHAL_HAVE_HIGHPRI_INTERRUPTS.1./
d36c0 2a 20 6d 65 64 2f 68 69 67 68 2d 70 72 69 2e 20 69 6e 74 65 72 72 75 70 74 73 20 2a 2f 0a 23 64 *.med/high-pri..interrupts.*/.#d
d36e0 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 4d 49 09 09 09 31 09 2f 2a 20 6e 6f 6e 2d efine.XCHAL_HAVE_NMI...1./*.non-
d3700 6d 61 73 6b 61 62 6c 65 20 69 6e 74 65 72 72 75 70 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 maskable.interrupt.*/.#define.XC
d3720 48 41 4c 5f 48 41 56 45 5f 43 43 4f 55 4e 54 09 09 31 09 2f 2a 20 43 43 4f 55 4e 54 20 72 65 67 HAL_HAVE_CCOUNT..1./*.CCOUNT.reg
d3740 2e 20 28 74 69 6d 65 72 20 6f 70 74 69 6f 6e 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ..(timer.option).*/.#define.XCHA
d3760 4c 5f 4e 55 4d 5f 54 49 4d 45 52 53 09 09 33 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 43 43 4f L_NUM_TIMERS..3./*.number.of.CCO
d3780 4d 50 41 52 45 6e 20 72 65 67 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d MPAREn.regs.*/.#define.XCHAL_NUM
d37a0 5f 49 4e 54 45 52 52 55 50 54 53 09 09 32 32 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 69 6e 74 _INTERRUPTS..22./*.number.of.int
d37c0 65 72 72 75 70 74 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 4e 54 errupts.*/.#define.XCHAL_NUM_INT
d37e0 45 52 52 55 50 54 53 5f 4c 4f 47 32 09 35 09 2f 2a 20 63 65 69 6c 28 6c 6f 67 32 28 4e 55 4d 5f ERRUPTS_LOG2.5./*.ceil(log2(NUM_
d3800 49 4e 54 45 52 52 55 50 54 53 29 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 INTERRUPTS)).*/.#define.XCHAL_NU
d3820 4d 5f 45 58 54 49 4e 54 45 52 52 55 50 54 53 09 09 31 37 09 2f 2a 20 6e 75 6d 20 6f 66 20 65 78 M_EXTINTERRUPTS..17./*.num.of.ex
d3840 74 65 72 6e 61 6c 20 69 6e 74 65 72 72 75 70 74 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 ternal.interrupts.*/.#define.XCH
d3860 41 4c 5f 4e 55 4d 5f 49 4e 54 4c 45 56 45 4c 53 09 09 36 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 AL_NUM_INTLEVELS..6./*.number.of
d3880 20 69 6e 74 65 72 72 75 70 74 20 6c 65 76 65 6c 73 0a 09 09 09 09 09 09 20 20 20 28 6e 6f 74 20 .interrupt.levels..........(not.
d38a0 69 6e 63 6c 75 64 69 6e 67 20 6c 65 76 65 6c 20 7a 65 72 6f 29 20 2a 2f 0a 23 64 65 66 69 6e 65 including.level.zero).*/.#define
d38c0 20 58 43 48 41 4c 5f 45 58 43 4d 5f 4c 45 56 45 4c 09 09 33 09 2f 2a 20 6c 65 76 65 6c 20 6d 61 .XCHAL_EXCM_LEVEL..3./*.level.ma
d38e0 73 6b 65 64 20 62 79 20 50 53 2e 45 58 43 4d 20 2a 2f 0a 09 2f 2a 20 28 61 6c 77 61 79 73 20 31 sked.by.PS.EXCM.*/../*.(always.1
d3900 20 69 6e 20 58 45 41 31 3b 20 6c 65 76 65 6c 73 20 32 20 2e 2e 20 45 58 43 4d 5f 4c 45 56 45 4c .in.XEA1;.levels.2....EXCM_LEVEL
d3920 20 61 72 65 20 22 6d 65 64 69 75 6d 20 70 72 69 6f 72 69 74 79 22 29 20 2a 2f 0a 0a 2f 2a 20 20 .are."medium.priority").*/../*..
d3940 4d 61 73 6b 73 20 6f 66 20 69 6e 74 65 72 72 75 70 74 73 20 61 74 20 65 61 63 68 20 69 6e 74 65 Masks.of.interrupts.at.each.inte
d3960 72 72 75 70 74 20 6c 65 76 65 6c 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 rrupt.level:..*/.#define.XCHAL_I
d3980 4e 54 4c 45 56 45 4c 31 5f 4d 41 53 4b 09 09 30 78 30 30 31 46 38 30 46 46 0a 23 64 65 66 69 6e NTLEVEL1_MASK..0x001F80FF.#defin
d39a0 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 32 5f 4d 41 53 4b 09 09 30 78 30 30 30 30 30 31 e.XCHAL_INTLEVEL2_MASK..0x000001
d39c0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 4d 41 53 4b 09 00.#define.XCHAL_INTLEVEL3_MASK.
d39e0 09 30 78 30 30 32 30 30 45 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 .0x00200E00.#define.XCHAL_INTLEV
d3a00 45 4c 34 5f 4d 41 53 4b 09 09 30 78 30 30 30 30 31 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 EL4_MASK..0x00001000.#define.XCH
d3a20 41 4c 5f 49 4e 54 4c 45 56 45 4c 35 5f 4d 41 53 4b 09 09 30 78 30 30 30 30 32 30 30 30 0a 23 64 AL_INTLEVEL5_MASK..0x00002000.#d
d3a40 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 4d 41 53 4b 09 09 30 78 30 30 efine.XCHAL_INTLEVEL6_MASK..0x00
d3a60 30 30 30 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 4d 000000.#define.XCHAL_INTLEVEL7_M
d3a80 41 53 4b 09 09 30 78 30 30 30 30 34 30 30 30 0a 0a 2f 2a 20 20 4d 61 73 6b 73 20 6f 66 20 69 6e ASK..0x00004000../*..Masks.of.in
d3aa0 74 65 72 72 75 70 74 73 20 61 74 20 65 61 63 68 20 72 61 6e 67 65 20 31 2e 2e 6e 20 6f 66 20 69 terrupts.at.each.range.1..n.of.i
d3ac0 6e 74 65 72 72 75 70 74 20 6c 65 76 65 6c 73 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 nterrupt.levels:..*/.#define.XCH
d3ae0 41 4c 5f 49 4e 54 4c 45 56 45 4c 31 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 31 AL_INTLEVEL1_ANDBELOW_MASK.0x001
d3b00 46 38 30 46 46 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 32 5f 41 4e F80FF.#define.XCHAL_INTLEVEL2_AN
d3b20 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 31 46 38 31 46 46 0a 23 64 65 66 69 6e 65 20 58 DBELOW_MASK.0x001F81FF.#define.X
d3b40 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 CHAL_INTLEVEL3_ANDBELOW_MASK.0x0
d3b60 30 33 46 38 46 46 46 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 34 5f 03F8FFF.#define.XCHAL_INTLEVEL4_
d3b80 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 33 46 39 46 46 46 0a 23 64 65 66 69 6e 65 ANDBELOW_MASK.0x003F9FFF.#define
d3ba0 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 35 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 .XCHAL_INTLEVEL5_ANDBELOW_MASK.0
d3bc0 78 30 30 33 46 42 46 46 46 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c x003FBFFF.#define.XCHAL_INTLEVEL
d3be0 36 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 33 46 42 46 46 46 0a 23 64 65 66 69 6_ANDBELOW_MASK.0x003FBFFF.#defi
d3c00 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b ne.XCHAL_INTLEVEL7_ANDBELOW_MASK
d3c20 09 30 78 30 30 33 46 46 46 46 46 0a 0a 2f 2a 20 20 4c 65 76 65 6c 20 6f 66 20 65 61 63 68 20 69 .0x003FFFFF../*..Level.of.each.i
d3c40 6e 74 65 72 72 75 70 74 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 30 nterrupt:..*/.#define.XCHAL_INT0
d3c60 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 5f 4c 45 56 _LEVEL..1.#define.XCHAL_INT1_LEV
d3c80 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 5f 4c 45 56 45 4c 09 09 EL..1.#define.XCHAL_INT2_LEVEL..
d3ca0 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 33 5f 4c 45 56 45 4c 09 09 31 0a 23 64 1.#define.XCHAL_INT3_LEVEL..1.#d
d3cc0 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 34 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e efine.XCHAL_INT4_LEVEL..1.#defin
d3ce0 65 20 58 43 48 41 4c 5f 49 4e 54 35 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 e.XCHAL_INT5_LEVEL..1.#define.XC
d3d00 48 41 4c 5f 49 4e 54 36 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f HAL_INT6_LEVEL..1.#define.XCHAL_
d3d20 49 4e 54 37 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 38 INT7_LEVEL..1.#define.XCHAL_INT8
d3d40 5f 4c 45 56 45 4c 09 09 32 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 39 5f 4c 45 56 _LEVEL..2.#define.XCHAL_INT9_LEV
d3d60 45 4c 09 09 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 30 5f 4c 45 56 45 4c 09 EL..3.#define.XCHAL_INT10_LEVEL.
d3d80 09 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 31 5f 4c 45 56 45 4c 09 09 33 0a .3.#define.XCHAL_INT11_LEVEL..3.
d3da0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 32 5f 4c 45 56 45 4c 09 09 34 0a 23 64 65 #define.XCHAL_INT12_LEVEL..4.#de
d3dc0 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 33 5f 4c 45 56 45 4c 09 09 35 0a 23 64 65 66 69 6e fine.XCHAL_INT13_LEVEL..5.#defin
d3de0 65 20 58 43 48 41 4c 5f 49 4e 54 31 34 5f 4c 45 56 45 4c 09 09 37 0a 23 64 65 66 69 6e 65 20 58 e.XCHAL_INT14_LEVEL..7.#define.X
d3e00 43 48 41 4c 5f 49 4e 54 31 35 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 CHAL_INT15_LEVEL..1.#define.XCHA
d3e20 4c 5f 49 4e 54 31 36 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 L_INT16_LEVEL..1.#define.XCHAL_I
d3e40 4e 54 31 37 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 NT17_LEVEL..1.#define.XCHAL_INT1
d3e60 38 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 39 5f 4c 8_LEVEL..1.#define.XCHAL_INT19_L
d3e80 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 30 5f 4c 45 56 45 EVEL..1.#define.XCHAL_INT20_LEVE
d3ea0 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 31 5f 4c 45 56 45 4c 09 09 L..1.#define.XCHAL_INT21_LEVEL..
d3ec0 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 4c 45 56 45 4c 09 09 36 09 2f 2a 3.#define.XCHAL_DEBUGLEVEL..6./*
d3ee0 20 64 65 62 75 67 20 69 6e 74 65 72 72 75 70 74 20 6c 65 76 65 6c 20 2a 2f 0a 23 64 65 66 69 6e .debug.interrupt.level.*/.#defin
d3f00 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 42 55 47 5f 45 58 54 45 52 4e 5f 49 4e 54 09 31 09 e.XCHAL_HAVE_DEBUG_EXTERN_INT.1.
d3f20 2f 2a 20 4f 43 44 20 65 78 74 65 72 6e 61 6c 20 64 62 20 69 6e 74 65 72 72 75 70 74 20 2a 2f 0a /*.OCD.external.db.interrupt.*/.
d3f40 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 4c 45 56 45 4c 09 09 09 37 09 2f 2a 20 4e 4d #define.XCHAL_NMILEVEL...7./*.NM
d3f60 49 20 22 6c 65 76 65 6c 22 20 28 66 6f 72 20 75 73 65 20 77 69 74 68 0a 09 09 09 09 09 09 20 20 I."level".(for.use.with.........
d3f80 20 45 58 43 53 41 56 45 2f 45 50 53 2f 45 50 43 5f 6e 2c 20 52 46 49 20 6e 29 20 2a 2f 0a 0a 2f .EXCSAVE/EPS/EPC_n,.RFI.n).*/../
d3fa0 2a 20 20 54 79 70 65 20 6f 66 20 65 61 63 68 20 69 6e 74 65 72 72 75 70 74 3a 20 20 2a 2f 0a 23 *..Type.of.each.interrupt:..*/.#
d3fc0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 30 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e define.XCHAL_INT0_TYPE..XTHAL_IN
d3fe0 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c TTYPE_EXTERN_LEVEL.#define.XCHAL
d4000 5f 49 4e 54 31 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e _INT1_TYPE..XTHAL_INTTYPE_EXTERN
d4020 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 5f 54 59 50 45 20 09 _LEVEL.#define.XCHAL_INT2_TYPE..
d4040 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 XTHAL_INTTYPE_EXTERN_LEVEL.#defi
d4060 6e 65 20 58 43 48 41 4c 5f 49 4e 54 33 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 ne.XCHAL_INT3_TYPE..XTHAL_INTTYP
d4080 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 E_EXTERN_LEVEL.#define.XCHAL_INT
d40a0 34 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 4_TYPE..XTHAL_INTTYPE_EXTERN_LEV
d40c0 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 35 5f 54 59 50 45 20 09 58 54 48 41 EL.#define.XCHAL_INT5_TYPE..XTHA
d40e0 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 L_INTTYPE_EXTERN_LEVEL.#define.X
d4100 43 48 41 4c 5f 49 4e 54 36 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 54 49 CHAL_INT6_TYPE..XTHAL_INTTYPE_TI
d4120 4d 45 52 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 37 5f 54 59 50 45 20 09 58 54 48 MER.#define.XCHAL_INT7_TYPE..XTH
d4140 41 4c 5f 49 4e 54 54 59 50 45 5f 53 4f 46 54 57 41 52 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 AL_INTTYPE_SOFTWARE.#define.XCHA
d4160 4c 5f 49 4e 54 38 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 L_INT8_TYPE..XTHAL_INTTYPE_EXTER
d4180 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 39 5f 54 59 50 45 20 N_LEVEL.#define.XCHAL_INT9_TYPE.
d41a0 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 .XTHAL_INTTYPE_EXTERN_LEVEL.#def
d41c0 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 30 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 ine.XCHAL_INT10_TYPE..XTHAL_INTT
d41e0 59 50 45 5f 54 49 4d 45 52 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 31 5f 54 59 YPE_TIMER.#define.XCHAL_INT11_TY
d4200 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 53 4f 46 54 57 41 52 45 0a 23 64 65 66 69 PE..XTHAL_INTTYPE_SOFTWARE.#defi
d4220 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 32 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 ne.XCHAL_INT12_TYPE..XTHAL_INTTY
d4240 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e PE_EXTERN_LEVEL.#define.XCHAL_IN
d4260 54 31 33 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 54 49 4d 45 52 0a 23 64 T13_TYPE..XTHAL_INTTYPE_TIMER.#d
d4280 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 34 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e efine.XCHAL_INT14_TYPE..XTHAL_IN
d42a0 54 54 59 50 45 5f 4e 4d 49 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 35 5f 54 59 TTYPE_NMI.#define.XCHAL_INT15_TY
d42c0 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 PE..XTHAL_INTTYPE_EXTERN_EDGE.#d
d42e0 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 36 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e efine.XCHAL_INT16_TYPE..XTHAL_IN
d4300 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f TTYPE_EXTERN_EDGE.#define.XCHAL_
d4320 49 4e 54 31 37 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e INT17_TYPE..XTHAL_INTTYPE_EXTERN
d4340 5f 45 44 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 38 5f 54 59 50 45 20 09 _EDGE.#define.XCHAL_INT18_TYPE..
d4360 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 65 66 69 6e XTHAL_INTTYPE_EXTERN_EDGE.#defin
d4380 65 20 58 43 48 41 4c 5f 49 4e 54 31 39 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 e.XCHAL_INT19_TYPE..XTHAL_INTTYP
d43a0 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 E_EXTERN_EDGE.#define.XCHAL_INT2
d43c0 30 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 0_TYPE..XTHAL_INTTYPE_EXTERN_EDG
d43e0 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 31 5f 54 59 50 45 20 09 58 54 48 41 E.#define.XCHAL_INT21_TYPE..XTHA
d4400 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 0a 2f 2a 20 20 4d 61 73 6b 73 L_INTTYPE_EXTERN_EDGE../*..Masks
d4420 20 6f 66 20 69 6e 74 65 72 72 75 70 74 73 20 66 6f 72 20 65 61 63 68 20 74 79 70 65 20 6f 66 20 .of.interrupts.for.each.type.of.
d4440 69 6e 74 65 72 72 75 70 74 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 interrupt:..*/.#define.XCHAL_INT
d4460 54 59 50 45 5f 4d 41 53 4b 5f 55 4e 43 4f 4e 46 49 47 55 52 45 44 09 30 78 46 46 43 30 30 30 30 TYPE_MASK_UNCONFIGURED.0xFFC0000
d4480 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 53 4f 46 0.#define.XCHAL_INTTYPE_MASK_SOF
d44a0 54 57 41 52 45 09 30 78 30 30 30 30 30 38 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 TWARE.0x00000880.#define.XCHAL_I
d44c0 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 45 58 54 45 52 4e 5f 45 44 47 45 09 30 78 30 30 33 46 38 30 NTTYPE_MASK_EXTERN_EDGE.0x003F80
d44e0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 45 58 00.#define.XCHAL_INTTYPE_MASK_EX
d4500 54 45 52 4e 5f 4c 45 56 45 4c 09 30 78 30 30 30 30 31 33 33 46 0a 23 64 65 66 69 6e 65 20 58 43 TERN_LEVEL.0x0000133F.#define.XC
d4520 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 54 49 4d 45 52 09 30 78 30 30 30 30 32 34 34 HAL_INTTYPE_MASK_TIMER.0x0000244
d4540 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 4e 4d 49 0.#define.XCHAL_INTTYPE_MASK_NMI
d4560 09 09 30 78 30 30 30 30 34 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 ..0x00004000.#define.XCHAL_INTTY
d4580 50 45 5f 4d 41 53 4b 5f 57 52 49 54 45 5f 45 52 52 4f 52 09 30 78 30 30 30 30 30 30 30 30 0a 23 PE_MASK_WRITE_ERROR.0x00000000.#
d45a0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 50 52 4f 46 49 4c define.XCHAL_INTTYPE_MASK_PROFIL
d45c0 49 4e 47 09 30 78 30 30 30 30 30 30 30 30 0a 0a 2f 2a 20 20 49 6e 74 65 72 72 75 70 74 20 6e 75 ING.0x00000000../*..Interrupt.nu
d45e0 6d 62 65 72 73 20 61 73 73 69 67 6e 65 64 20 74 6f 20 73 70 65 63 69 66 69 63 20 69 6e 74 65 72 mbers.assigned.to.specific.inter
d4600 72 75 70 74 20 73 6f 75 72 63 65 73 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f rupt.sources:..*/.#define.XCHAL_
d4620 54 49 4d 45 52 30 5f 49 4e 54 45 52 52 55 50 54 09 09 36 09 2f 2a 20 43 43 4f 4d 50 41 52 45 30 TIMER0_INTERRUPT..6./*.CCOMPARE0
d4640 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 49 4d 45 52 31 5f 49 4e 54 45 52 52 55 .*/.#define.XCHAL_TIMER1_INTERRU
d4660 50 54 09 09 31 30 09 2f 2a 20 43 43 4f 4d 50 41 52 45 31 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 PT..10./*.CCOMPARE1.*/.#define.X
d4680 43 48 41 4c 5f 54 49 4d 45 52 32 5f 49 4e 54 45 52 52 55 50 54 09 09 31 33 09 2f 2a 20 43 43 4f CHAL_TIMER2_INTERRUPT..13./*.CCO
d46a0 4d 50 41 52 45 32 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 49 4d 45 52 33 5f 49 MPARE2.*/.#define.XCHAL_TIMER3_I
d46c0 4e 54 45 52 52 55 50 54 09 09 58 54 48 41 4c 5f 54 49 4d 45 52 5f 55 4e 43 4f 4e 46 49 47 55 52 NTERRUPT..XTHAL_TIMER_UNCONFIGUR
d46e0 45 44 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 5f 49 4e 54 45 52 52 55 50 54 09 09 ED.#define.XCHAL_NMI_INTERRUPT..
d4700 31 34 09 2f 2a 20 6e 6f 6e 2d 6d 61 73 6b 61 62 6c 65 20 69 6e 74 65 72 72 75 70 74 20 2a 2f 0a 14./*.non-maskable.interrupt.*/.
d4720 0a 2f 2a 20 20 49 6e 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 73 20 66 6f 72 20 6c 65 76 65 6c ./*..Interrupt.numbers.for.level
d4740 73 20 61 74 20 77 68 69 63 68 20 6f 6e 6c 79 20 6f 6e 65 20 69 6e 74 65 72 72 75 70 74 20 69 73 s.at.which.only.one.interrupt.is
d4760 20 63 6f 6e 66 69 67 75 72 65 64 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 .configured:..*/.#define.XCHAL_I
d4780 4e 54 4c 45 56 45 4c 32 5f 4e 55 4d 09 09 38 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e NTLEVEL2_NUM..8.#define.XCHAL_IN
d47a0 54 4c 45 56 45 4c 34 5f 4e 55 4d 09 09 31 32 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e TLEVEL4_NUM..12.#define.XCHAL_IN
d47c0 54 4c 45 56 45 4c 35 5f 4e 55 4d 09 09 31 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e TLEVEL5_NUM..13.#define.XCHAL_IN
d47e0 54 4c 45 56 45 4c 37 5f 4e 55 4d 09 09 31 34 0a 2f 2a 20 20 28 54 68 65 72 65 20 61 72 65 20 6d TLEVEL7_NUM..14./*..(There.are.m
d4800 61 6e 79 20 69 6e 74 65 72 72 75 70 74 73 20 65 61 63 68 20 61 74 20 6c 65 76 65 6c 28 73 29 20 any.interrupts.each.at.level(s).
d4820 31 2c 20 33 2e 29 20 20 2a 2f 0a 0a 0a 2f 2a 0a 20 2a 20 20 45 78 74 65 72 6e 61 6c 20 69 6e 74 1,.3.)..*/.../*..*..External.int
d4840 65 72 72 75 70 74 20 6d 61 70 70 69 6e 67 2e 0a 20 2a 20 20 54 68 65 73 65 20 6d 61 63 72 6f 73 errupt.mapping...*..These.macros
d4860 20 64 65 73 63 72 69 62 65 20 68 6f 77 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 20 69 .describe.how.Xtensa.processor.i
d4880 6e 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 73 0a 20 2a 20 20 28 61 73 20 6e 75 6d 62 65 72 65 nterrupt.numbers..*..(as.numbere
d48a0 64 20 69 6e 74 65 72 6e 61 6c 6c 79 2c 20 65 67 2e 20 69 6e 20 49 4e 54 45 52 52 55 50 54 20 61 d.internally,.eg..in.INTERRUPT.a
d48c0 6e 64 20 49 4e 54 45 4e 41 42 4c 45 20 72 65 67 69 73 74 65 72 73 29 0a 20 2a 20 20 6d 61 70 20 nd.INTENABLE.registers)..*..map.
d48e0 74 6f 20 65 78 74 65 72 6e 61 6c 20 42 49 6e 74 65 72 72 75 70 74 3c 6e 3e 20 70 69 6e 73 2c 20 to.external.BInterrupt<n>.pins,.
d4900 66 6f 72 20 74 68 6f 73 65 20 69 6e 74 65 72 72 75 70 74 73 0a 20 2a 20 20 63 6f 6e 66 69 67 75 for.those.interrupts..*..configu
d4920 72 65 64 20 61 73 20 65 78 74 65 72 6e 61 6c 20 28 6c 65 76 65 6c 2d 74 72 69 67 67 65 72 65 64 red.as.external.(level-triggered
d4940 2c 20 65 64 67 65 2d 74 72 69 67 67 65 72 65 64 2c 20 6f 72 20 4e 4d 49 29 2e 0a 20 2a 20 20 53 ,.edge-triggered,.or.NMI)...*..S
d4960 65 65 20 74 68 65 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 20 64 61 74 61 62 6f 6f 6b ee.the.Xtensa.processor.databook
d4980 20 66 6f 72 20 6d 6f 72 65 20 64 65 74 61 69 6c 73 2e 0a 20 2a 2f 0a 0a 2f 2a 20 20 43 6f 72 65 .for.more.details...*/../*..Core
d49a0 20 69 6e 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 73 20 6d 61 70 70 65 64 20 74 6f 20 65 61 63 .interrupt.numbers.mapped.to.eac
d49c0 68 20 45 58 54 45 52 4e 41 4c 20 42 49 6e 74 65 72 72 75 70 74 20 70 69 6e 20 6e 75 6d 62 65 72 h.EXTERNAL.BInterrupt.pin.number
d49e0 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 30 5f 4e 55 4d 09 :..*/.#define.XCHAL_EXTINT0_NUM.
d4a00 09 30 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .0./*.(intlevel.1).*/.#define.XC
d4a20 48 41 4c 5f 45 58 54 49 4e 54 31 5f 4e 55 4d 09 09 31 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT1_NUM..1./*.(intlevel.
d4a40 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 32 5f 4e 55 4d 09 1).*/.#define.XCHAL_EXTINT2_NUM.
d4a60 09 32 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .2./*.(intlevel.1).*/.#define.XC
d4a80 48 41 4c 5f 45 58 54 49 4e 54 33 5f 4e 55 4d 09 09 33 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT3_NUM..3./*.(intlevel.
d4aa0 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 34 5f 4e 55 4d 09 1).*/.#define.XCHAL_EXTINT4_NUM.
d4ac0 09 34 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .4./*.(intlevel.1).*/.#define.XC
d4ae0 48 41 4c 5f 45 58 54 49 4e 54 35 5f 4e 55 4d 09 09 35 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT5_NUM..5./*.(intlevel.
d4b00 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 36 5f 4e 55 4d 09 1).*/.#define.XCHAL_EXTINT6_NUM.
d4b20 09 38 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 32 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .8./*.(intlevel.2).*/.#define.XC
d4b40 48 41 4c 5f 45 58 54 49 4e 54 37 5f 4e 55 4d 09 09 39 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT7_NUM..9./*.(intlevel.
d4b60 33 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 38 5f 4e 55 4d 09 3).*/.#define.XCHAL_EXTINT8_NUM.
d4b80 09 31 32 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 34 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 .12./*.(intlevel.4).*/.#define.X
d4ba0 43 48 41 4c 5f 45 58 54 49 4e 54 39 5f 4e 55 4d 09 09 31 34 09 2f 2a 20 28 69 6e 74 6c 65 76 65 CHAL_EXTINT9_NUM..14./*.(intleve
d4bc0 6c 20 37 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 30 5f 4e l.7).*/.#define.XCHAL_EXTINT10_N
d4be0 55 4d 09 09 31 35 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e UM..15./*.(intlevel.1).*/.#defin
d4c00 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 31 5f 4e 55 4d 09 09 31 36 09 2f 2a 20 28 69 6e 74 e.XCHAL_EXTINT11_NUM..16./*.(int
d4c20 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 level.1).*/.#define.XCHAL_EXTINT
d4c40 31 32 5f 4e 55 4d 09 09 31 37 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 12_NUM..17./*.(intlevel.1).*/.#d
d4c60 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 33 5f 4e 55 4d 09 09 31 38 09 2f 2a 20 efine.XCHAL_EXTINT13_NUM..18./*.
d4c80 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 (intlevel.1).*/.#define.XCHAL_EX
d4ca0 54 49 4e 54 31 34 5f 4e 55 4d 09 09 31 39 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a TINT14_NUM..19./*.(intlevel.1).*
d4cc0 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 35 5f 4e 55 4d 09 09 32 30 /.#define.XCHAL_EXTINT15_NUM..20
d4ce0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
d4d00 4c 5f 45 58 54 49 4e 54 31 36 5f 4e 55 4d 09 09 32 31 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 L_EXTINT16_NUM..21./*.(intlevel.
d4d20 33 29 20 2a 2f 0a 2f 2a 20 20 45 58 54 45 52 4e 41 4c 20 42 49 6e 74 65 72 72 75 70 74 20 70 69 3).*/./*..EXTERNAL.BInterrupt.pi
d4d40 6e 20 6e 75 6d 62 65 72 73 20 6d 61 70 70 65 64 20 74 6f 20 65 61 63 68 20 63 6f 72 65 20 69 6e n.numbers.mapped.to.each.core.in
d4d60 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 terrupt.number:..*/.#define.XCHA
d4d80 4c 5f 49 4e 54 30 5f 45 58 54 4e 55 4d 09 09 30 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 L_INT0_EXTNUM..0./*.(intlevel.1)
d4da0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 5f 45 58 54 4e 55 4d 09 09 31 .*/.#define.XCHAL_INT1_EXTNUM..1
d4dc0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
d4de0 4c 5f 49 4e 54 32 5f 45 58 54 4e 55 4d 09 09 32 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 L_INT2_EXTNUM..2./*.(intlevel.1)
d4e00 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 33 5f 45 58 54 4e 55 4d 09 09 33 .*/.#define.XCHAL_INT3_EXTNUM..3
d4e20 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
d4e40 4c 5f 49 4e 54 34 5f 45 58 54 4e 55 4d 09 09 34 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 L_INT4_EXTNUM..4./*.(intlevel.1)
d4e60 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 35 5f 45 58 54 4e 55 4d 09 09 35 .*/.#define.XCHAL_INT5_EXTNUM..5
d4e80 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
d4ea0 4c 5f 49 4e 54 38 5f 45 58 54 4e 55 4d 09 09 36 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 32 29 L_INT8_EXTNUM..6./*.(intlevel.2)
d4ec0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 39 5f 45 58 54 4e 55 4d 09 09 37 .*/.#define.XCHAL_INT9_EXTNUM..7
d4ee0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 33 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.3).*/.#define.XCHA
d4f00 4c 5f 49 4e 54 31 32 5f 45 58 54 4e 55 4d 09 09 38 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 34 L_INT12_EXTNUM..8./*.(intlevel.4
d4f20 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 34 5f 45 58 54 4e 55 4d 09 ).*/.#define.XCHAL_INT14_EXTNUM.
d4f40 09 39 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 37 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .9./*.(intlevel.7).*/.#define.XC
d4f60 48 41 4c 5f 49 4e 54 31 35 5f 45 58 54 4e 55 4d 09 09 31 30 09 2f 2a 20 28 69 6e 74 6c 65 76 65 HAL_INT15_EXTNUM..10./*.(intleve
d4f80 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 36 5f 45 58 54 4e l.1).*/.#define.XCHAL_INT16_EXTN
d4fa0 55 4d 09 09 31 31 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e UM..11./*.(intlevel.1).*/.#defin
d4fc0 65 20 58 43 48 41 4c 5f 49 4e 54 31 37 5f 45 58 54 4e 55 4d 09 09 31 32 09 2f 2a 20 28 69 6e 74 e.XCHAL_INT17_EXTNUM..12./*.(int
d4fe0 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 38 5f level.1).*/.#define.XCHAL_INT18_
d5000 45 58 54 4e 55 4d 09 09 31 33 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 EXTNUM..13./*.(intlevel.1).*/.#d
d5020 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 39 5f 45 58 54 4e 55 4d 09 09 31 34 09 2f 2a 20 efine.XCHAL_INT19_EXTNUM..14./*.
d5040 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e (intlevel.1).*/.#define.XCHAL_IN
d5060 54 32 30 5f 45 58 54 4e 55 4d 09 09 31 35 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a T20_EXTNUM..15./*.(intlevel.1).*
d5080 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 31 5f 45 58 54 4e 55 4d 09 09 31 36 /.#define.XCHAL_INT21_EXTNUM..16
d50a0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 33 29 20 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d ./*.(intlevel.3).*/.../*--------
d50c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d50e0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 ------------------------------..
d5100 09 09 45 58 43 45 50 54 49 4f 4e 53 20 61 6e 64 20 56 45 43 54 4f 52 53 0a 20 20 2d 2d 2d 2d 2d ..EXCEPTIONS.and.VECTORS...-----
d5120 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d5140 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d5160 2d 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 58 45 41 5f 56 45 52 53 49 4f 4e 09 09 -*/..#define.XCHAL_XEA_VERSION..
d5180 32 09 2f 2a 20 58 74 65 6e 73 61 20 45 78 63 65 70 74 69 6f 6e 20 41 72 63 68 69 74 65 63 74 75 2./*.Xtensa.Exception.Architectu
d51a0 72 65 0a 09 09 09 09 09 09 20 20 20 6e 75 6d 62 65 72 3a 20 31 20 3d 3d 20 58 45 41 31 20 28 6f re..........number:.1.==.XEA1.(o
d51c0 6c 64 29 0a 09 09 09 09 09 09 09 20 20 20 32 20 3d 3d 20 58 45 41 32 20 28 6e 65 77 29 0a 09 09 ld)...........2.==.XEA2.(new)...
d51e0 09 09 09 09 09 20 20 20 30 20 3d 3d 20 58 45 41 58 20 28 65 78 74 65 72 6e 29 20 6f 72 20 54 58 ........0.==.XEAX.(extern).or.TX
d5200 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 45 41 31 09 09 09 30 09 .*/.#define.XCHAL_HAVE_XEA1...0.
d5220 2f 2a 20 45 78 63 65 70 74 69 6f 6e 20 41 72 63 68 69 74 65 63 74 75 72 65 20 31 20 2a 2f 0a 23 /*.Exception.Architecture.1.*/.#
d5240 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 45 41 32 09 09 09 31 09 2f 2a 20 45 78 define.XCHAL_HAVE_XEA2...1./*.Ex
d5260 63 65 70 74 69 6f 6e 20 41 72 63 68 69 74 65 63 74 75 72 65 20 32 20 2a 2f 0a 23 64 65 66 69 6e ception.Architecture.2.*/.#defin
d5280 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 45 41 58 09 09 09 30 09 2f 2a 20 45 78 74 65 72 6e 61 e.XCHAL_HAVE_XEAX...0./*.Externa
d52a0 6c 20 45 78 63 65 70 74 69 6f 6e 20 41 72 63 68 2e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 l.Exception.Arch..*/.#define.XCH
d52c0 41 4c 5f 48 41 56 45 5f 45 58 43 45 50 54 49 4f 4e 53 09 09 31 09 2f 2a 20 65 78 63 65 70 74 69 AL_HAVE_EXCEPTIONS..1./*.excepti
d52e0 6f 6e 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f on.option.*/.#define.XCHAL_HAVE_
d5300 48 41 4c 54 09 09 09 30 09 2f 2a 20 68 61 6c 74 20 61 72 63 68 69 74 65 63 74 75 72 65 20 6f 70 HALT...0./*.halt.architecture.op
d5320 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 4f 4f 54 4c tion.*/.#define.XCHAL_HAVE_BOOTL
d5340 4f 41 44 45 52 09 09 30 09 2f 2a 20 62 6f 6f 74 20 6c 6f 61 64 65 72 20 28 66 6f 72 20 54 58 29 OADER..0./*.boot.loader.(for.TX)
d5360 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 45 4d 5f 45 43 43 5f 50 .*/.#define.XCHAL_HAVE_MEM_ECC_P
d5380 41 52 49 54 59 09 30 09 2f 2a 20 6c 6f 63 61 6c 20 6d 65 6d 6f 72 79 20 45 43 43 2f 70 61 72 69 ARITY.0./*.local.memory.ECC/pari
d53a0 74 79 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 4f 52 5f ty.*/.#define.XCHAL_HAVE_VECTOR_
d53c0 53 45 4c 45 43 54 09 31 09 2f 2a 20 72 65 6c 6f 63 61 74 61 62 6c 65 20 76 65 63 74 6f 72 73 20 SELECT.1./*.relocatable.vectors.
d53e0 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 42 41 53 45 09 09 31 */.#define.XCHAL_HAVE_VECBASE..1
d5400 09 2f 2a 20 72 65 6c 6f 63 61 74 61 62 6c 65 20 76 65 63 74 6f 72 73 20 2a 2f 0a 23 64 65 66 69 ./*.relocatable.vectors.*/.#defi
d5420 6e 65 20 58 43 48 41 4c 5f 56 45 43 42 41 53 45 5f 52 45 53 45 54 5f 56 41 44 44 52 09 30 78 30 ne.XCHAL_VECBASE_RESET_VADDR.0x0
d5440 30 30 30 32 30 30 30 20 20 2f 2a 20 56 45 43 42 41 53 45 20 72 65 73 65 74 20 76 61 6c 75 65 20 0002000../*.VECBASE.reset.value.
d5460 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 56 45 43 42 41 53 45 5f 52 45 53 45 54 5f 50 */.#define.XCHAL_VECBASE_RESET_P
d5480 41 44 44 52 09 30 78 30 30 30 30 32 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 ADDR.0x00002000.#define.XCHAL_RE
d54a0 53 45 54 5f 56 45 43 42 41 53 45 5f 4f 56 45 52 4c 41 50 09 30 0a 0a 23 64 65 66 69 6e 65 20 58 SET_VECBASE_OVERLAP.0..#define.X
d54c0 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 30 5f 56 41 44 44 52 09 30 78 46 45 30 30 30 CHAL_RESET_VECTOR0_VADDR.0xFE000
d54e0 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 30 5f 000.#define.XCHAL_RESET_VECTOR0_
d5500 50 41 44 44 52 09 30 78 46 45 30 30 30 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 PADDR.0xFE000000.#define.XCHAL_R
d5520 45 53 45 54 5f 56 45 43 54 4f 52 31 5f 56 41 44 44 52 09 30 78 30 30 30 30 31 30 30 30 0a 23 64 ESET_VECTOR1_VADDR.0x00001000.#d
d5540 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 31 5f 50 41 44 44 52 09 efine.XCHAL_RESET_VECTOR1_PADDR.
d5560 30 78 30 30 30 30 31 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 53 45 54 5f 56 0x00001000.#define.XCHAL_RESET_V
d5580 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 46 45 30 30 30 30 30 30 0a 23 64 65 66 69 6e 65 20 58 ECTOR_VADDR.0xFE000000.#define.X
d55a0 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 46 45 30 30 30 30 CHAL_RESET_VECTOR_PADDR.0xFE0000
d55c0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 53 45 52 5f 56 45 43 4f 46 53 09 09 30 78 00.#define.XCHAL_USER_VECOFS..0x
d55e0 30 30 30 30 30 33 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 53 45 52 5f 56 45 43 54 00000340.#define.XCHAL_USER_VECT
d5600 4f 52 5f 56 41 44 44 52 09 09 30 78 30 30 30 30 32 33 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 OR_VADDR..0x00002340.#define.XCH
d5620 41 4c 5f 55 53 45 52 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 09 30 78 30 30 30 30 32 33 34 30 AL_USER_VECTOR_PADDR..0x00002340
d5640 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4b 45 52 4e 45 4c 5f 56 45 43 4f 46 53 09 09 30 78 .#define.XCHAL_KERNEL_VECOFS..0x
d5660 30 30 30 30 30 33 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4b 45 52 4e 45 4c 5f 56 45 00000300.#define.XCHAL_KERNEL_VE
d5680 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 33 30 30 0a 23 64 65 66 69 6e 65 20 58 43 CTOR_VADDR.0x00002300.#define.XC
d56a0 48 41 4c 5f 4b 45 52 4e 45 4c 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 33 HAL_KERNEL_VECTOR_PADDR.0x000023
d56c0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 4f 55 42 4c 45 45 58 43 5f 56 45 43 4f 46 00.#define.XCHAL_DOUBLEEXC_VECOF
d56e0 53 09 09 30 78 30 30 30 30 30 33 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 4f 55 42 S..0x000003C0.#define.XCHAL_DOUB
d5700 4c 45 45 58 43 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 33 43 30 0a 23 64 LEEXC_VECTOR_VADDR.0x000023C0.#d
d5720 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 4f 55 42 4c 45 45 58 43 5f 56 45 43 54 4f 52 5f 50 41 44 efine.XCHAL_DOUBLEEXC_VECTOR_PAD
d5740 44 52 09 30 78 30 30 30 30 32 33 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 DR.0x000023C0.#define.XCHAL_WIND
d5760 4f 57 5f 4f 46 34 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 30 30 30 0a 23 64 65 66 69 6e OW_OF4_VECOFS..0x00000000.#defin
d5780 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 55 46 34 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 e.XCHAL_WINDOW_UF4_VECOFS..0x000
d57a0 30 30 30 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 4f 46 38 5f 56 00040.#define.XCHAL_WINDOW_OF8_V
d57c0 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 30 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f ECOFS..0x00000080.#define.XCHAL_
d57e0 57 49 4e 44 4f 57 5f 55 46 38 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 30 43 30 0a 23 64 WINDOW_UF8_VECOFS..0x000000C0.#d
d5800 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 4f 46 31 32 5f 56 45 43 4f 46 53 09 30 efine.XCHAL_WINDOW_OF12_VECOFS.0
d5820 78 30 30 30 30 30 31 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 55 x00000100.#define.XCHAL_WINDOW_U
d5840 46 31 32 5f 56 45 43 4f 46 53 09 30 78 30 30 30 30 30 31 34 30 0a 23 64 65 66 69 6e 65 20 58 43 F12_VECOFS.0x00000140.#define.XC
d5860 48 41 4c 5f 57 49 4e 44 4f 57 5f 56 45 43 54 4f 52 53 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 HAL_WINDOW_VECTORS_VADDR.0x00002
d5880 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 56 45 43 54 4f 52 53 000.#define.XCHAL_WINDOW_VECTORS
d58a0 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f _PADDR.0x00002000.#define.XCHAL_
d58c0 49 4e 54 4c 45 56 45 4c 32 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 31 38 30 0a 23 64 65 INTLEVEL2_VECOFS..0x00000180.#de
d58e0 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 32 5f 56 45 43 54 4f 52 5f 56 41 44 44 fine.XCHAL_INTLEVEL2_VECTOR_VADD
d5900 52 09 30 78 30 30 30 30 32 31 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 R.0x00002180.#define.XCHAL_INTLE
d5920 56 45 4c 32 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 31 38 30 0a 23 64 65 VEL2_VECTOR_PADDR.0x00002180.#de
d5940 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 56 45 43 4f 46 53 09 09 30 78 30 fine.XCHAL_INTLEVEL3_VECOFS..0x0
d5960 30 30 30 30 31 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 00001C0.#define.XCHAL_INTLEVEL3_
d5980 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 31 43 30 0a 23 64 65 66 69 6e 65 20 VECTOR_VADDR.0x000021C0.#define.
d59a0 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 XCHAL_INTLEVEL3_VECTOR_PADDR.0x0
d59c0 30 30 30 32 31 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 34 5f 00021C0.#define.XCHAL_INTLEVEL4_
d59e0 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 32 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c VECOFS..0x00000200.#define.XCHAL
d5a00 5f 49 4e 54 4c 45 56 45 4c 34 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 32 _INTLEVEL4_VECTOR_VADDR.0x000022
d5a20 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 34 5f 56 45 43 54 4f 00.#define.XCHAL_INTLEVEL4_VECTO
d5a40 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 32 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c R_PADDR.0x00002200.#define.XCHAL
d5a60 5f 49 4e 54 4c 45 56 45 4c 35 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 32 34 30 0a 23 64 _INTLEVEL5_VECOFS..0x00000240.#d
d5a80 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 35 5f 56 45 43 54 4f 52 5f 56 41 44 efine.XCHAL_INTLEVEL5_VECTOR_VAD
d5aa0 44 52 09 30 78 30 30 30 30 32 32 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c DR.0x00002240.#define.XCHAL_INTL
d5ac0 45 56 45 4c 35 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 32 34 30 0a 23 64 EVEL5_VECTOR_PADDR.0x00002240.#d
d5ae0 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 4f 46 53 09 09 30 78 efine.XCHAL_INTLEVEL6_VECOFS..0x
d5b00 30 30 30 30 30 32 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 00000280.#define.XCHAL_INTLEVEL6
d5b20 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 32 38 30 0a 23 64 65 66 69 6e 65 _VECTOR_VADDR.0x00002280.#define
d5b40 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 .XCHAL_INTLEVEL6_VECTOR_PADDR.0x
d5b60 30 30 30 30 32 32 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 5f 56 45 43 00002280.#define.XCHAL_DEBUG_VEC
d5b80 4f 46 53 09 09 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 4f 46 53 0a 23 64 65 66 OFS..XCHAL_INTLEVEL6_VECOFS.#def
d5ba0 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 58 43 48 ine.XCHAL_DEBUG_VECTOR_VADDR.XCH
d5bc0 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 0a 23 64 65 66 69 6e AL_INTLEVEL6_VECTOR_VADDR.#defin
d5be0 65 20 58 43 48 41 4c 5f 44 45 42 55 47 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 58 43 48 41 4c e.XCHAL_DEBUG_VECTOR_PADDR.XCHAL
d5c00 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 0a 23 64 65 66 69 6e 65 20 _INTLEVEL6_VECTOR_PADDR.#define.
d5c20 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 32 43 30 0a 23 64 65 XCHAL_NMI_VECOFS..0x000002C0.#de
d5c40 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 09 30 78 30 fine.XCHAL_NMI_VECTOR_VADDR..0x0
d5c60 30 30 30 32 32 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 00022C0.#define.XCHAL_NMI_VECTOR
d5c80 5f 50 41 44 44 52 09 09 30 78 30 30 30 30 32 32 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c _PADDR..0x000022C0.#define.XCHAL
d5ca0 5f 49 4e 54 4c 45 56 45 4c 37 5f 56 45 43 4f 46 53 09 09 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 _INTLEVEL7_VECOFS..XCHAL_NMI_VEC
d5cc0 4f 46 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 56 45 43 54 OFS.#define.XCHAL_INTLEVEL7_VECT
d5ce0 4f 52 5f 56 41 44 44 52 09 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 0a OR_VADDR.XCHAL_NMI_VECTOR_VADDR.
d5d00 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 56 45 43 54 4f 52 5f 50 #define.XCHAL_INTLEVEL7_VECTOR_P
d5d20 41 44 44 52 09 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 0a 0a 0a 2f 2a ADDR.XCHAL_NMI_VECTOR_PADDR.../*
d5d40 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d5d60 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d5d80 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 44 45 42 55 47 20 4d 4f 44 55 4c 45 0a 20 20 2d 2d 2d 2d 2d 2d ------.....DEBUG.MODULE...------
d5da0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d5dc0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d5de0 2a 2f 0a 0a 2f 2a 20 20 4d 69 73 63 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 */../*..Misc..*/.#define.XCHAL_H
d5e00 41 56 45 5f 44 45 42 55 47 5f 45 52 49 09 09 30 09 2f 2a 20 45 52 49 20 74 6f 20 64 65 62 75 67 AVE_DEBUG_ERI..0./*.ERI.to.debug
d5e20 20 6d 6f 64 75 6c 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 .module.*/.#define.XCHAL_HAVE_DE
d5e40 42 55 47 5f 41 50 42 09 09 30 09 2f 2a 20 41 50 42 20 74 6f 20 64 65 62 75 67 20 6d 6f 64 75 6c BUG_APB..0./*.APB.to.debug.modul
d5e60 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 42 55 47 5f 4a 54 e.*/.#define.XCHAL_HAVE_DEBUG_JT
d5e80 41 47 09 09 31 09 2f 2a 20 4a 54 41 47 20 74 6f 20 64 65 62 75 67 20 6d 6f 64 75 6c 65 20 2a 2f AG..1./*.JTAG.to.debug.module.*/
d5ea0 0a 0a 2f 2a 20 20 4f 6e 2d 43 68 69 70 20 44 65 62 75 67 20 28 4f 43 44 29 20 20 2a 2f 0a 23 64 ../*..On-Chip.Debug.(OCD)..*/.#d
d5ec0 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4f 43 44 09 09 09 31 09 2f 2a 20 4f 6e 43 68 efine.XCHAL_HAVE_OCD...1./*.OnCh
d5ee0 69 70 44 65 62 75 67 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f ipDebug.option.*/.#define.XCHAL_
d5f00 4e 55 4d 5f 49 42 52 45 41 4b 09 09 32 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 49 42 52 45 41 NUM_IBREAK..2./*.number.of.IBREA
d5f20 4b 6e 20 72 65 67 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 44 42 52 Kn.regs.*/.#define.XCHAL_NUM_DBR
d5f40 45 41 4b 09 09 32 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 44 42 52 45 41 4b 6e 20 72 65 67 73 EAK..2./*.number.of.DBREAKn.regs
d5f60 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4f 43 44 5f 44 49 52 5f 41 .*/.#define.XCHAL_HAVE_OCD_DIR_A
d5f80 52 52 41 59 09 30 09 2f 2a 20 66 61 73 74 65 72 20 4f 43 44 20 6f 70 74 69 6f 6e 20 28 74 6f 20 RRAY.0./*.faster.OCD.option.(to.
d5fa0 4c 58 34 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4f 43 44 5f 4c LX4).*/.#define.XCHAL_HAVE_OCD_L
d5fc0 53 33 32 44 44 52 09 09 30 09 2f 2a 20 4c 33 32 44 44 52 2f 53 33 32 44 44 52 20 28 66 61 73 74 S32DDR..0./*.L32DDR/S32DDR.(fast
d5fe0 65 72 20 4f 43 44 29 20 2a 2f 0a 0a 2f 2a 20 20 54 52 41 58 20 28 69 6e 20 63 6f 72 65 29 20 20 er.OCD).*/../*..TRAX.(in.core)..
d6000 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 52 41 58 09 09 09 30 09 2f */.#define.XCHAL_HAVE_TRAX...0./
d6020 2a 20 54 52 41 58 20 69 6e 20 64 65 62 75 67 20 6d 6f 64 75 6c 65 20 2a 2f 0a 23 64 65 66 69 6e *.TRAX.in.debug.module.*/.#defin
d6040 65 20 58 43 48 41 4c 5f 54 52 41 58 5f 4d 45 4d 5f 53 49 5a 45 09 09 30 09 2f 2a 20 54 52 41 58 e.XCHAL_TRAX_MEM_SIZE..0./*.TRAX
d6060 20 6d 65 6d 6f 72 79 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 .memory.size.in.bytes.*/.#define
d6080 20 58 43 48 41 4c 5f 54 52 41 58 5f 4d 45 4d 5f 53 48 41 52 45 41 42 4c 45 09 30 09 2f 2a 20 73 .XCHAL_TRAX_MEM_SHAREABLE.0./*.s
d60a0 74 61 72 74 2f 65 6e 64 20 72 65 67 73 3b 20 72 65 61 64 79 20 73 69 67 2e 20 2a 2f 0a 23 64 65 tart/end.regs;.ready.sig..*/.#de
d60c0 66 69 6e 65 20 58 43 48 41 4c 5f 54 52 41 58 5f 41 54 42 5f 57 49 44 54 48 09 09 30 09 2f 2a 20 fine.XCHAL_TRAX_ATB_WIDTH..0./*.
d60e0 41 54 42 20 77 69 64 74 68 20 28 62 69 74 73 29 2c 20 30 3d 6e 6f 20 41 54 42 20 2a 2f 0a 23 64 ATB.width.(bits),.0=no.ATB.*/.#d
d6100 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 52 41 58 5f 54 49 4d 45 5f 57 49 44 54 48 09 09 30 09 2f efine.XCHAL_TRAX_TIME_WIDTH..0./
d6120 2a 20 74 69 6d 65 73 74 61 6d 70 20 62 69 74 77 69 64 74 68 2c 20 30 3d 6e 6f 6e 65 20 2a 2f 0a *.timestamp.bitwidth,.0=none.*/.
d6140 0a 2f 2a 20 20 50 65 72 66 20 63 6f 75 6e 74 65 72 73 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 ./*..Perf.counters..*/.#define.X
d6160 43 48 41 4c 5f 4e 55 4d 5f 50 45 52 46 5f 43 4f 55 4e 54 45 52 53 09 09 30 09 2f 2a 20 70 65 72 CHAL_NUM_PERF_COUNTERS..0./*.per
d6180 66 6f 72 6d 61 6e 63 65 20 63 6f 75 6e 74 65 72 73 20 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d formance.counters.*/.../*-------
d61a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d61c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a -------------------------------.
d61e0 09 09 09 09 4d 4d 55 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ....MMU...----------------------
d6200 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
d6220 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 2f 2a 20 20 53 65 65 20 63 6f 72 65 ----------------*/../*..See.core
d6240 2d 6d 61 74 6d 61 70 2e 68 20 68 65 61 64 65 72 20 66 69 6c 65 20 66 6f 72 20 6d 6f 72 65 20 64 -matmap.h.header.file.for.more.d
d6260 65 74 61 69 6c 73 2e 20 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f etails...*/..#define.XCHAL_HAVE_
d6280 54 4c 42 53 09 09 09 31 09 2f 2a 20 69 6e 76 65 72 73 65 20 6f 66 20 48 41 56 45 5f 43 41 43 48 TLBS...1./*.inverse.of.HAVE_CACH
d62a0 45 41 54 54 52 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 50 41 4e EATTR.*/.#define.XCHAL_HAVE_SPAN
d62c0 4e 49 4e 47 5f 57 41 59 09 09 31 09 2f 2a 20 6f 6e 65 20 77 61 79 20 6d 61 70 73 20 49 2b 44 20 NING_WAY..1./*.one.way.maps.I+D.
d62e0 34 47 42 20 76 61 64 64 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 53 50 41 4e 4e 4GB.vaddr.*/.#define.XCHAL_SPANN
d6300 49 4e 47 5f 57 41 59 09 09 36 09 2f 2a 20 54 4c 42 20 73 70 61 6e 6e 69 6e 67 20 77 61 79 20 6e ING_WAY..6./*.TLB.spanning.way.n
d6320 75 6d 62 65 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 44 45 4e umber.*/.#define.XCHAL_HAVE_IDEN
d6340 54 49 54 59 5f 4d 41 50 09 09 30 09 2f 2a 20 76 61 64 64 72 20 3d 3d 20 70 61 64 64 72 20 61 6c TITY_MAP..0./*.vaddr.==.paddr.al
d6360 77 61 79 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 41 43 48 45 ways.*/.#define.XCHAL_HAVE_CACHE
d6380 41 54 54 52 09 09 30 09 2f 2a 20 43 41 43 48 45 41 54 54 52 20 72 65 67 69 73 74 65 72 20 70 72 ATTR..0./*.CACHEATTR.register.pr
d63a0 65 73 65 6e 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 49 4d 49 esent.*/.#define.XCHAL_HAVE_MIMI
d63c0 43 5f 43 41 43 48 45 41 54 54 52 09 30 09 2f 2a 20 72 65 67 69 6f 6e 20 70 72 6f 74 65 63 74 69 C_CACHEATTR.0./*.region.protecti
d63e0 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 4c 54 5f 43 41 43 on.*/.#define.XCHAL_HAVE_XLT_CAC
d6400 48 45 41 54 54 52 09 30 09 2f 2a 20 72 65 67 69 6f 6e 20 70 72 6f 74 2e 20 77 2f 74 72 61 6e 73 HEATTR.0./*.region.prot..w/trans
d6420 6c 61 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 54 50 lation.*/.#define.XCHAL_HAVE_PTP
d6440 5f 4d 4d 55 09 09 31 09 2f 2a 20 66 75 6c 6c 20 4d 4d 55 20 28 77 69 74 68 20 70 61 67 65 20 74 _MMU..1./*.full.MMU.(with.page.t
d6460 61 62 6c 65 0a 09 09 09 09 09 09 20 20 20 5b 61 75 74 6f 72 65 66 69 6c 6c 5d 20 61 6e 64 20 70 able..........[autorefill].and.p
d6480 72 6f 74 65 63 74 69 6f 6e 29 0a 09 09 09 09 09 09 20 20 20 75 73 61 62 6c 65 20 66 6f 72 20 61 rotection)..........usable.for.a
d64a0 6e 20 4d 4d 55 2d 62 61 73 65 64 20 4f 53 20 2a 2f 0a 2f 2a 20 20 49 66 20 6e 6f 6e 65 20 6f 66 n.MMU-based.OS.*/./*..If.none.of
d64c0 20 74 68 65 20 61 62 6f 76 65 20 6c 61 73 74 20 34 20 61 72 65 20 73 65 74 2c 20 69 74 27 73 20 .the.above.last.4.are.set,.it's.
d64e0 61 20 63 75 73 74 6f 6d 20 54 4c 42 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 2e 20 20 2a 2f 0a a.custom.TLB.configuration...*/.
d6500 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 54 4c 42 5f 41 52 46 5f 45 4e 54 52 49 45 53 5f 4c #define.XCHAL_ITLB_ARF_ENTRIES_L
d6520 4f 47 32 09 32 09 2f 2a 20 6c 6f 67 32 28 61 75 74 6f 72 65 66 69 6c 6c 20 77 61 79 20 73 69 7a OG2.2./*.log2(autorefill.way.siz
d6540 65 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 54 4c 42 5f 41 52 46 5f 45 4e 54 e).*/.#define.XCHAL_DTLB_ARF_ENT
d6560 52 49 45 53 5f 4c 4f 47 32 09 32 09 2f 2a 20 6c 6f 67 32 28 61 75 74 6f 72 65 66 69 6c 6c 20 77 RIES_LOG2.2./*.log2(autorefill.w
d6580 61 79 20 73 69 7a 65 29 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 41 ay.size).*/..#define.XCHAL_MMU_A
d65a0 53 49 44 5f 42 49 54 53 09 09 38 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 62 69 74 73 20 69 6e SID_BITS..8./*.number.of.bits.in
d65c0 20 41 53 49 44 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 52 49 4e 47 .ASIDs.*/.#define.XCHAL_MMU_RING
d65e0 53 09 09 09 34 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 72 69 6e 67 73 20 28 31 2e 2e 34 29 20 S...4./*.number.of.rings.(1..4).
d6600 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 52 49 4e 47 5f 42 49 54 53 09 09 */.#define.XCHAL_MMU_RING_BITS..
d6620 32 09 2f 2a 20 6e 75 6d 20 6f 66 20 62 69 74 73 20 69 6e 20 52 49 4e 47 20 66 69 65 6c 64 20 2a 2./*.num.of.bits.in.RING.field.*
d6640 2f 0a 0a 23 65 6e 64 69 66 20 2f 2a 20 21 58 54 45 4e 53 41 5f 48 41 4c 5f 4e 4f 4e 5f 50 52 49 /..#endif./*.!XTENSA_HAL_NON_PRI
d6660 56 49 4c 45 47 45 44 5f 4f 4e 4c 59 20 2a 2f 0a 0a 0a 23 65 6e 64 69 66 20 2f 2a 20 5f 58 54 45 VILEGED_ONLY.*/...#endif./*._XTE
d6680 4e 53 41 5f 43 4f 52 45 5f 43 4f 4e 46 49 47 55 52 41 54 49 4f 4e 5f 48 20 2a 2f 0a 0a 00 00 00 NSA_CORE_CONFIGURATION_H.*/.....
d66a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d66c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d66e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6700 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6720 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d67a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d67c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d67e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6800 6c 69 6e 75 78 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 76 61 72 69 61 6e 74 73 2f 64 63 32 33 33 linux/arch/xtensa/variants/dc233
d6820 63 5f 63 61 6c 6c 30 2f 69 6e 63 6c 75 64 65 2f 76 61 72 69 61 6e 74 2f 74 69 65 2d 61 73 6d 2e c_call0/include/variant/tie-asm.
d6840 68 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 h...............................
d6860 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
d6880 30 30 32 31 34 37 34 00 31 33 30 31 33 34 32 31 34 36 37 00 30 32 35 32 36 33 00 20 30 00 00 00 0021474.13013421467.025263..0...
d68a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d68c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d68e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6900 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
d6920 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
d6940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d69a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d69c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d69e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d6a00 2f 2a 20 0a 20 2a 20 74 69 65 2d 61 73 6d 2e 68 20 2d 2d 20 63 6f 6d 70 69 6c 65 2d 74 69 6d 65 /*...*.tie-asm.h.--.compile-time
d6a20 20 48 41 4c 20 61 73 73 65 6d 62 6c 65 72 20 64 65 66 69 6e 69 74 69 6f 6e 73 20 64 65 70 65 6e .HAL.assembler.definitions.depen
d6a40 64 65 6e 74 20 6f 6e 20 43 4f 52 45 20 26 20 54 49 45 0a 20 2a 0a 20 2a 20 20 4e 4f 54 45 3a 20 dent.on.CORE.&.TIE..*..*..NOTE:.
d6a60 20 54 68 69 73 20 68 65 61 64 65 72 20 66 69 6c 65 20 69 73 20 6e 6f 74 20 6d 65 61 6e 74 20 74 .This.header.file.is.not.meant.t
d6a80 6f 20 62 65 20 69 6e 63 6c 75 64 65 64 20 64 69 72 65 63 74 6c 79 2e 0a 20 2a 2f 0a 0a 2f 2a 20 o.be.included.directly...*/../*.
d6aa0 54 68 69 73 20 68 65 61 64 65 72 20 66 69 6c 65 20 63 6f 6e 74 61 69 6e 73 20 61 73 73 65 6d 62 This.header.file.contains.assemb
d6ac0 6c 79 2d 6c 61 6e 67 75 61 67 65 20 64 65 66 69 6e 69 74 69 6f 6e 73 20 28 61 73 73 65 6d 62 6c ly-language.definitions.(assembl
d6ae0 79 0a 20 20 20 6d 61 63 72 6f 73 2c 20 65 74 63 2e 29 20 66 6f 72 20 74 68 69 73 20 73 70 65 63 y....macros,.etc.).for.this.spec
d6b00 69 66 69 63 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 27 73 20 54 49 45 20 65 78 74 65 ific.Xtensa.processor's.TIE.exte
d6b20 6e 73 69 6f 6e 73 0a 20 20 20 61 6e 64 20 6f 70 74 69 6f 6e 73 2e 20 20 49 74 20 69 73 20 63 75 nsions....and.options...It.is.cu
d6b40 73 74 6f 6d 69 7a 65 64 20 74 6f 20 74 68 69 73 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f stomized.to.this.Xtensa.processo
d6b60 72 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 2e 0a 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 28 r.configuration......Copyright.(
d6b80 63 29 20 31 39 39 39 2d 32 30 31 36 20 43 61 64 65 6e 63 65 20 44 65 73 69 67 6e 20 53 79 73 74 c).1999-2016.Cadence.Design.Syst
d6ba0 65 6d 73 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 ems.Inc......Permission.is.hereb
d6bc0 79 20 67 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e y.granted,.free.of.charge,.to.an
d6be0 79 20 70 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 y.person.obtaining....a.copy.of.
d6c00 74 68 69 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 this.software.and.associated.doc
d6c20 75 6d 65 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 umentation.files.(the...."Softwa
d6c40 72 65 22 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 re"),.to.deal.in.the.Software.wi
d6c60 74 68 6f 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 thout.restriction,.including....
d6c80 77 69 74 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e 20 74 68 65 20 72 69 67 68 74 73 20 74 6f without.limitation.the.rights.to
d6ca0 20 75 73 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 .use,.copy,.modify,.merge,.publi
d6cc0 73 68 2c 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 sh,....distribute,.sublicense,.a
d6ce0 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 nd/or.sell.copies.of.the.Softwar
d6d00 65 2c 20 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 e,.and.to....permit.persons.to.w
d6d20 68 6f 6d 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f hom.the.Software.is.furnished.to
d6d40 20 64 6f 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 .do.so,.subject.to....the.follow
d6d60 69 6e 67 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f ing.conditions:.....The.above.co
d6d80 70 79 72 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 pyright.notice.and.this.permissi
d6da0 6f 6e 20 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 on.notice.shall.be.included....i
d6dc0 6e 20 61 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 n.all.copies.or.substantial.port
d6de0 69 6f 6e 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f ions.of.the.Software......THE.SO
d6e00 46 54 57 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 FTWARE.IS.PROVIDED."AS.IS",.WITH
d6e20 4f 55 54 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 OUT.WARRANTY.OF.ANY.KIND,....EXP
d6e40 52 45 53 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e RESS.OR.IMPLIED,.INCLUDING.BUT.N
d6e60 4f 54 20 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a OT.LIMITED.TO.THE.WARRANTIES.OF.
d6e80 20 20 20 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 ...MERCHANTABILITY,.FITNESS.FOR.
d6ea0 41 20 50 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 A.PARTICULAR.PURPOSE.AND.NONINFR
d6ec0 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 INGEMENT.....IN.NO.EVENT.SHALL.T
d6ee0 48 45 20 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 HE.AUTHORS.OR.COPYRIGHT.HOLDERS.
d6f00 42 45 20 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 BE.LIABLE.FOR.ANY....CLAIM,.DAMA
d6f20 47 45 53 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 GES.OR.OTHER.LIABILITY,.WHETHER.
d6f40 49 4e 20 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 IN.AN.ACTION.OF.CONTRACT,....TOR
d6f60 54 20 4f 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 T.OR.OTHERWISE,.ARISING.FROM,.OU
d6f80 54 20 4f 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 T.OF.OR.IN.CONNECTION.WITH.THE..
d6fa0 20 20 53 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 ..SOFTWARE.OR.THE.USE.OR.OTHER.D
d6fc0 45 41 4c 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 23 69 EALINGS.IN.THE.SOFTWARE...*/..#i
d6fe0 66 6e 64 65 66 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 41 53 4d 5f 48 0a 23 64 65 fndef._XTENSA_CORE_TIE_ASM_H.#de
d7000 66 69 6e 65 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 41 53 4d 5f 48 0a 0a 2f 2a 20 fine._XTENSA_CORE_TIE_ASM_H../*.
d7020 20 53 65 6c 65 63 74 69 6f 6e 20 70 61 72 61 6d 65 74 65 72 20 76 61 6c 75 65 73 20 66 6f 72 20 .Selection.parameter.values.for.
d7040 73 61 76 65 2d 61 72 65 61 20 73 61 76 65 2f 72 65 73 74 6f 72 65 20 6d 61 63 72 6f 73 3a 20 20 save-area.save/restore.macros:..
d7060 2a 2f 0a 2f 2a 20 20 4f 70 74 69 6f 6e 20 76 73 2e 20 54 49 45 3a 20 20 2a 2f 0a 23 64 65 66 69 */./*..Option.vs..TIE:..*/.#defi
d7080 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 54 49 45 09 30 78 30 30 30 31 09 2f 2a 20 63 75 73 74 6f ne.XTHAL_SAS_TIE.0x0001./*.custo
d70a0 6d 20 65 78 74 65 6e 73 69 6f 6e 20 6f 72 20 63 6f 70 72 6f 63 65 73 73 6f 72 20 2a 2f 0a 23 64 m.extension.or.coprocessor.*/.#d
d70c0 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 09 30 78 30 30 30 32 09 2f 2a 20 6f 70 efine.XTHAL_SAS_OPT.0x0002./*.op
d70e0 74 69 6f 6e 61 6c 20 28 61 6e 64 20 6e 6f 74 20 61 20 63 6f 70 72 6f 63 65 73 73 6f 72 29 20 2a tional.(and.not.a.coprocessor).*
d7100 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 41 4e 59 4f 54 09 30 78 30 30 30 33 /.#define.XTHAL_SAS_ANYOT.0x0003
d7120 09 2f 2a 20 62 6f 74 68 20 6f 66 20 74 68 65 20 61 62 6f 76 65 20 2a 2f 0a 2f 2a 20 20 57 68 65 ./*.both.of.the.above.*/./*..Whe
d7140 74 68 65 72 20 75 73 65 64 20 61 75 74 6f 6d 61 74 69 63 61 6c 6c 79 20 62 79 20 63 6f 6d 70 69 ther.used.automatically.by.compi
d7160 6c 65 72 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 4e 4f 43 43 09 ler:..*/.#define.XTHAL_SAS_NOCC.
d7180 30 78 30 30 30 34 09 2f 2a 20 6e 6f 74 20 75 73 65 64 20 62 79 20 63 6f 6d 70 69 6c 65 72 20 77 0x0004./*.not.used.by.compiler.w
d71a0 2f 6f 20 73 70 65 63 69 61 6c 20 6f 70 74 73 2f 63 6f 64 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 /o.special.opts/code.*/.#define.
d71c0 58 54 48 41 4c 5f 53 41 53 5f 43 43 09 30 78 30 30 30 38 09 2f 2a 20 75 73 65 64 20 62 79 20 63 XTHAL_SAS_CC.0x0008./*.used.by.c
d71e0 6f 6d 70 69 6c 65 72 20 77 69 74 68 6f 75 74 20 73 70 65 63 69 61 6c 20 6f 70 74 73 2f 63 6f 64 ompiler.without.special.opts/cod
d7200 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 41 4e 59 43 43 09 30 78 30 e.*/.#define.XTHAL_SAS_ANYCC.0x0
d7220 30 30 43 09 2f 2a 20 62 6f 74 68 20 6f 66 20 74 68 65 20 61 62 6f 76 65 20 2a 2f 0a 2f 2a 20 20 00C./*.both.of.the.above.*/./*..
d7240 41 42 49 20 68 61 6e 64 6c 69 6e 67 20 61 63 72 6f 73 73 20 66 75 6e 63 74 69 6f 6e 20 63 61 6c ABI.handling.across.function.cal
d7260 6c 73 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 09 30 ls:..*/.#define.XTHAL_SAS_CALR.0
d7280 78 30 30 31 30 09 2f 2a 20 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 2a 2f 0a 23 64 65 66 69 6e 65 x0010./*.caller-saved.*/.#define
d72a0 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 45 09 30 78 30 30 32 30 09 2f 2a 20 63 61 6c 6c 65 65 .XTHAL_SAS_CALE.0x0020./*.callee
d72c0 2d 73 61 76 65 64 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 47 4c 4f 42 -saved.*/.#define.XTHAL_SAS_GLOB
d72e0 09 30 78 30 30 34 30 09 2f 2a 20 67 6c 6f 62 61 6c 20 61 63 72 6f 73 73 20 66 75 6e 63 74 69 6f .0x0040./*.global.across.functio
d7300 6e 20 63 61 6c 6c 73 20 28 69 6e 20 74 68 72 65 61 64 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 n.calls.(in.thread).*/.#define.X
d7320 54 48 41 4c 5f 53 41 53 5f 41 4e 59 41 42 49 09 30 78 30 30 37 30 09 2f 2a 20 61 6c 6c 20 6f 66 THAL_SAS_ANYABI.0x0070./*.all.of
d7340 20 74 68 65 20 61 62 6f 76 65 20 74 68 72 65 65 20 2a 2f 0a 2f 2a 20 20 4d 69 73 63 20 20 2a 2f .the.above.three.*/./*..Misc..*/
d7360 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 41 4c 4c 09 30 78 46 46 46 46 09 2f 2a .#define.XTHAL_SAS_ALL.0xFFFF./*
d7380 20 69 6e 63 6c 75 64 65 20 61 6c 6c 20 64 65 66 61 75 6c 74 20 4e 43 50 20 63 6f 6e 74 65 6e 74 .include.all.default.NCP.content
d73a0 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 33 28 6f 70 74 69 65 2c 63 63 s.*/.#define.XTHAL_SAS3(optie,cc
d73c0 75 73 65 2c 61 62 69 29 09 28 20 28 28 6f 70 74 69 65 29 20 26 20 58 54 48 41 4c 5f 53 41 53 5f use,abi).(.((optie).&.XTHAL_SAS_
d73e0 41 4e 59 4f 54 29 20 20 5c 0a 09 09 09 09 09 7c 20 28 28 63 63 75 73 65 29 20 26 20 58 54 48 41 ANYOT)..\......|.((ccuse).&.XTHA
d7400 4c 5f 53 41 53 5f 41 4e 59 43 43 29 20 20 5c 0a 09 09 09 09 09 7c 20 28 28 61 62 69 29 20 20 20 L_SAS_ANYCC)..\......|.((abi)...
d7420 26 20 58 54 48 41 4c 5f 53 41 53 5f 41 4e 59 41 42 49 29 20 29 0a 0a 0a 20 20 20 20 2f 2a 0a 20 &.XTHAL_SAS_ANYABI).)......./*..
d7440 20 20 20 20 20 2a 20 20 4d 61 63 72 6f 20 74 6f 20 73 74 6f 72 65 20 61 6c 6c 20 6e 6f 6e 2d 63 .....*..Macro.to.store.all.non-c
d7460 6f 70 72 6f 63 65 73 73 6f 72 20 28 65 78 74 72 61 29 20 63 75 73 74 6f 6d 20 54 49 45 20 61 6e oprocessor.(extra).custom.TIE.an
d7480 64 20 6f 70 74 69 6f 6e 61 6c 20 73 74 61 74 65 0a 20 20 20 20 20 20 2a 20 20 28 6e 6f 74 20 69 d.optional.state.......*..(not.i
d74a0 6e 63 6c 75 64 69 6e 67 20 7a 65 72 6f 2d 6f 76 65 72 68 65 61 64 20 6c 6f 6f 70 20 72 65 67 69 ncluding.zero-overhead.loop.regi
d74c0 73 74 65 72 73 29 2e 0a 20 20 20 20 20 20 2a 20 20 52 65 71 75 69 72 65 64 20 70 61 72 61 6d 65 sters)........*..Required.parame
d74e0 74 65 72 73 3a 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 70 74 72 20 20 20 20 20 20 20 20 20 53 ters:.......*......ptr.........S
d7500 61 76 65 20 61 72 65 61 20 70 6f 69 6e 74 65 72 20 61 64 64 72 65 73 73 20 72 65 67 69 73 74 65 ave.area.pointer.address.registe
d7520 72 20 28 63 6c 6f 62 62 65 72 65 64 29 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 r.(clobbered).......*...........
d7540 20 20 20 20 20 20 20 28 72 65 67 69 73 74 65 72 20 6d 75 73 74 20 63 6f 6e 74 61 69 6e 20 61 20 .......(register.must.contain.a.
d7560 34 20 62 79 74 65 20 61 6c 69 67 6e 65 64 20 61 64 64 72 65 73 73 29 2e 0a 20 20 20 20 20 20 2a 4.byte.aligned.address)........*
d7580 20 20 20 20 20 20 61 74 31 2e 2e 61 74 34 20 20 20 20 46 6f 75 72 20 74 65 6d 70 6f 72 61 72 79 ......at1..at4....Four.temporary
d75a0 20 61 64 64 72 65 73 73 20 72 65 67 69 73 74 65 72 73 20 28 66 69 72 73 74 20 58 43 48 41 4c 5f .address.registers.(first.XCHAL_
d75c0 4e 43 50 5f 4e 55 4d 5f 41 54 4d 50 53 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 NCP_NUM_ATMPS.......*...........
d75e0 20 20 20 20 20 20 20 72 65 67 69 73 74 65 72 73 20 61 72 65 20 63 6c 6f 62 62 65 72 65 64 2c 20 .......registers.are.clobbered,.
d7600 74 68 65 20 72 65 6d 61 69 6e 69 6e 67 20 61 72 65 20 75 6e 75 73 65 64 29 2e 0a 20 20 20 20 20 the.remaining.are.unused).......
d7620 20 2a 20 20 4f 70 74 69 6f 6e 61 6c 20 70 61 72 61 6d 65 74 65 72 73 3a 0a 20 20 20 20 20 20 2a .*..Optional.parameters:.......*
d7640 20 20 20 20 20 20 63 6f 6e 74 69 6e 75 65 20 20 20 20 49 66 20 6d 61 63 72 6f 20 69 6e 76 6f 6b ......continue....If.macro.invok
d7660 65 64 20 61 73 20 70 61 72 74 20 6f 66 20 61 20 6c 61 72 67 65 72 20 73 74 6f 72 65 20 73 65 71 ed.as.part.of.a.larger.store.seq
d7680 75 65 6e 63 65 2c 20 73 65 74 20 74 6f 20 31 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 uence,.set.to.1.......*.........
d76a0 20 20 20 20 20 20 20 20 20 69 66 20 74 68 69 73 20 69 73 20 6e 6f 74 20 74 68 65 20 66 69 72 73 .........if.this.is.not.the.firs
d76c0 74 20 69 6e 20 74 68 65 20 73 65 71 75 65 6e 63 65 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 t.in.the.sequence...Defaults.to.
d76e0 30 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 6f 66 73 20 20 20 20 20 20 20 20 20 4f 66 66 73 0........*......ofs.........Offs
d7700 65 74 20 66 72 6f 6d 20 73 74 61 72 74 20 6f 66 20 6c 61 72 67 65 72 20 73 65 71 75 65 6e 63 65 et.from.start.of.larger.sequence
d7720 20 28 66 72 6f 6d 20 76 61 6c 75 65 20 6f 66 20 66 69 72 73 74 20 70 74 72 0a 20 20 20 20 20 20 .(from.value.of.first.ptr.......
d7740 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 69 6e 20 73 65 71 75 65 6e 63 65 29 20 *..................in.sequence).
d7760 61 74 20 77 68 69 63 68 20 74 6f 20 73 74 6f 72 65 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 at.which.to.store...Defaults.to.
d7780 6e 65 78 74 20 61 76 61 69 6c 61 62 6c 65 20 73 70 61 63 65 0a 20 20 20 20 20 20 2a 20 20 20 20 next.available.space.......*....
d77a0 20 20 20 20 20 20 20 20 20 20 20 20 20 20 28 6f 72 20 30 20 69 66 20 3c 63 6f 6e 74 69 6e 75 65 ..............(or.0.if.<continue
d77c0 3e 20 69 73 20 30 29 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 73 65 6c 65 63 74 20 20 20 20 >.is.0)........*......select....
d77e0 20 20 53 65 6c 65 63 74 20 77 68 61 74 20 63 61 74 65 67 6f 72 79 28 69 65 73 29 20 6f 66 20 72 ..Select.what.category(ies).of.r
d7800 65 67 69 73 74 65 72 73 20 74 6f 20 73 74 6f 72 65 2c 20 61 73 20 61 20 62 69 74 6d 61 73 6b 0a egisters.to.store,.as.a.bitmask.
d7820 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 28 73 65 65 20 58 54 ......*..................(see.XT
d7840 48 41 4c 5f 53 41 53 5f 78 78 78 20 63 6f 6e 73 74 61 6e 74 73 29 2e 20 20 44 65 66 61 75 6c 74 HAL_SAS_xxx.constants)...Default
d7860 73 20 74 6f 20 61 6c 6c 20 72 65 67 69 73 74 65 72 73 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 s.to.all.registers........*.....
d7880 20 61 6c 6c 6f 63 20 20 20 20 20 20 20 53 65 6c 65 63 74 20 77 68 61 74 20 63 61 74 65 67 6f 72 .alloc.......Select.what.categor
d78a0 79 28 69 65 73 29 20 6f 66 20 72 65 67 69 73 74 65 72 73 20 74 6f 20 61 6c 6c 6f 63 61 74 65 3b y(ies).of.registers.to.allocate;
d78c0 20 69 66 20 61 6e 79 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 .if.any.......*.................
d78e0 20 63 61 74 65 67 6f 72 79 20 69 73 20 73 65 6c 65 63 74 65 64 20 68 65 72 65 20 74 68 61 74 20 .category.is.selected.here.that.
d7900 69 73 20 6e 6f 74 20 69 6e 20 3c 73 65 6c 65 63 74 3e 2c 20 73 70 61 63 65 20 66 6f 72 0a 20 20 is.not.in.<select>,.space.for...
d7920 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 74 68 65 20 63 6f 72 72 65 ....*..................the.corre
d7940 73 70 6f 6e 64 69 6e 67 20 72 65 67 69 73 74 65 72 73 20 69 73 20 73 6b 69 70 70 65 64 20 77 69 sponding.registers.is.skipped.wi
d7960 74 68 6f 75 74 20 64 6f 69 6e 67 20 61 6e 79 20 73 74 6f 72 65 2e 0a 20 20 20 20 20 20 2a 2f 0a thout.doing.any.store........*/.
d7980 20 20 20 20 2e 6d 61 63 72 6f 20 78 63 68 61 6c 5f 6e 63 70 5f 73 74 6f 72 65 20 20 70 74 72 20 .....macro.xchal_ncp_store..ptr.
d79a0 61 74 31 20 61 74 32 20 61 74 33 20 61 74 34 20 20 63 6f 6e 74 69 6e 75 65 3d 30 20 6f 66 73 3d at1.at2.at3.at4..continue=0.ofs=
d79c0 2d 31 20 73 65 6c 65 63 74 3d 58 54 48 41 4c 5f 53 41 53 5f 41 4c 4c 20 61 6c 6c 6f 63 3d 30 0a -1.select=XTHAL_SAS_ALL.alloc=0.
d79e0 09 78 63 68 61 6c 5f 73 61 5f 73 74 61 72 74 09 5c 63 6f 6e 74 69 6e 75 65 2c 20 5c 6f 66 73 0a .xchal_sa_start.\continue,.\ofs.
d7a00 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 67 6c 6f 62 61 6c 20 72 65 67 69 73 74 65 72 73 20 75 73 .//.Optional.global.registers.us
d7a20 65 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 ed.by.default.by.the.compiler:..
d7a40 2e 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 .ifeq.(XTHAL_SAS_OPT.|.XTHAL_SAS
d7a60 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 47 4c 4f 42 29 20 26 20 7e 28 5c 73 65 6c 65 63 _CC.|.XTHAL_SAS_GLOB).&.~(\selec
d7a80 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 36 t)..xchal_sa_align.\ptr,.0,.1016
d7aa0 2c 20 34 2c 20 34 0a 09 72 75 72 2e 54 48 52 45 41 44 50 54 52 09 5c 61 74 31 09 09 2f 2f 20 74 ,.4,.4..rur.THREADPTR.\at1..//.t
d7ac0 68 72 65 61 64 70 74 72 20 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 hreadptr.option..s32i.\at1,.\ptr
d7ae0 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 30 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f ,..Lxchal_ofs_+0...set..Lxchal_o
d7b00 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 34 0a 09 2e 65 6c 73 65 69 66 20 28 fs_,..Lxchal_ofs_.+.4...elseif.(
d7b20 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 (XTHAL_SAS_OPT.|.XTHAL_SAS_CC.|.
d7b40 58 54 48 41 4c 5f 53 41 53 5f 47 4c 4f 42 29 20 26 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d 3d 20 XTHAL_SAS_GLOB).&.~(\alloc)).==.
d7b60 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 36 2c 0..xchal_sa_align.\ptr,.0,.1016,
d7b80 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 .4,.4...set..Lxchal_ofs_,..Lxcha
d7ba0 6c 5f 6f 66 73 5f 20 2b 20 34 0a 09 2e 65 6e 64 69 66 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 l_ofs_.+.4...endif..//.Optional.
d7bc0 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 72 65 67 69 73 74 65 72 73 20 75 73 65 64 20 62 79 20 64 caller-saved.registers.used.by.d
d7be0 65 66 61 75 6c 74 20 62 79 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 2e 69 66 65 71 20 28 efault.by.the.compiler:...ifeq.(
d7c00 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 XTHAL_SAS_OPT.|.XTHAL_SAS_CC.|.X
d7c20 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 73 65 6c 65 63 74 29 0a 09 78 63 68 THAL_SAS_CALR).&.~(\select)..xch
d7c40 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 32 2c 20 34 2c 20 34 0a al_sa_align.\ptr,.0,.1012,.4,.4.
d7c60 09 72 73 72 2e 41 43 43 4c 4f 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e .rsr.ACCLO.\at1..//.MAC16.option
d7c80 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b ..s32i.\at1,.\ptr,..Lxchal_ofs_+
d7ca0 30 0a 09 72 73 72 2e 41 43 43 48 49 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 0..rsr.ACCHI.\at1..//.MAC16.opti
d7cc0 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 on..s32i.\at1,.\ptr,..Lxchal_ofs
d7ce0 5f 2b 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f _+4...set..Lxchal_ofs_,..Lxchal_
d7d00 6f 66 73 5f 20 2b 20 38 0a 09 2e 65 6c 73 65 69 66 20 28 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 ofs_.+.8...elseif.((XTHAL_SAS_OP
d7d20 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c T.|.XTHAL_SAS_CC.|.XTHAL_SAS_CAL
d7d40 52 29 20 26 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d 3d 20 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 R).&.~(\alloc)).==.0..xchal_sa_a
d7d60 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 32 2c 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e lign.\ptr,.0,.1012,.4,.4...set..
d7d80 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 38 0a 09 2e Lxchal_ofs_,..Lxchal_ofs_.+.8...
d7da0 65 6e 64 69 66 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 endif..//.Optional.caller-saved.
d7dc0 72 65 67 69 73 74 65 72 73 20 6e 6f 74 20 75 73 65 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 registers.not.used.by.default.by
d7de0 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 2e 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 .the.compiler:...ifeq.(XTHAL_SAS
d7e00 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 4e 4f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 _OPT.|.XTHAL_SAS_NOCC.|.XTHAL_SA
d7e20 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 73 65 6c 65 63 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 S_CALR).&.~(\select)..xchal_sa_a
d7e40 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 30 30 2c 20 34 2c 20 34 0a 09 72 73 72 2e 53 43 lign.\ptr,.0,.1000,.4,.4..rsr.SC
d7e60 4f 4d 50 41 52 45 31 09 5c 61 74 31 09 09 2f 2f 20 63 6f 6e 64 69 74 69 6f 6e 61 6c 20 73 74 6f OMPARE1.\at1..//.conditional.sto
d7e80 72 65 20 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 re.option..s32i.\at1,.\ptr,..Lxc
d7ea0 68 61 6c 5f 6f 66 73 5f 2b 30 0a 09 72 73 72 2e 4d 30 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 hal_ofs_+0..rsr.M0.\at1..//.MAC1
d7ec0 36 20 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 6.option..s32i.\at1,.\ptr,..Lxch
d7ee0 61 6c 5f 6f 66 73 5f 2b 34 0a 09 72 73 72 2e 4d 31 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 al_ofs_+4..rsr.M1.\at1..//.MAC16
d7f00 20 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 .option..s32i.\at1,.\ptr,..Lxcha
d7f20 6c 5f 6f 66 73 5f 2b 38 0a 09 72 73 72 2e 4d 32 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 l_ofs_+8..rsr.M2.\at1..//.MAC16.
d7f40 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c option..s32i.\at1,.\ptr,..Lxchal
d7f60 5f 6f 66 73 5f 2b 31 32 0a 09 72 73 72 2e 4d 33 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 _ofs_+12..rsr.M3.\at1..//.MAC16.
d7f80 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c option..s32i.\at1,.\ptr,..Lxchal
d7fa0 5f 6f 66 73 5f 2b 31 36 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 _ofs_+16...set..Lxchal_ofs_,..Lx
d7fc0 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 32 30 0a 09 2e 65 6c 73 65 69 66 20 28 28 58 54 48 41 4c 5f chal_ofs_.+.20...elseif.((XTHAL_
d7fe0 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 4e 4f 43 43 20 7c 20 58 54 48 41 4c SAS_OPT.|.XTHAL_SAS_NOCC.|.XTHAL
d8000 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d 3d 20 30 0a 09 78 63 _SAS_CALR).&.~(\alloc)).==.0..xc
d8020 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 30 30 2c 20 34 2c 20 34 hal_sa_align.\ptr,.0,.1000,.4,.4
d8040 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 ...set..Lxchal_ofs_,..Lxchal_ofs
d8060 5f 20 2b 20 32 30 0a 09 2e 65 6e 64 69 66 0a 20 20 20 20 2e 65 6e 64 6d 09 2f 2f 20 78 63 68 61 _.+.20...endif......endm.//.xcha
d8080 6c 5f 6e 63 70 5f 73 74 6f 72 65 0a 0a 20 20 20 20 2f 2a 0a 20 20 20 20 20 20 2a 20 20 4d 61 63 l_ncp_store....../*.......*..Mac
d80a0 72 6f 20 74 6f 20 6c 6f 61 64 20 61 6c 6c 20 6e 6f 6e 2d 63 6f 70 72 6f 63 65 73 73 6f 72 20 28 ro.to.load.all.non-coprocessor.(
d80c0 65 78 74 72 61 29 20 63 75 73 74 6f 6d 20 54 49 45 20 61 6e 64 20 6f 70 74 69 6f 6e 61 6c 20 73 extra).custom.TIE.and.optional.s
d80e0 74 61 74 65 0a 20 20 20 20 20 20 2a 20 20 28 6e 6f 74 20 69 6e 63 6c 75 64 69 6e 67 20 7a 65 72 tate.......*..(not.including.zer
d8100 6f 2d 6f 76 65 72 68 65 61 64 20 6c 6f 6f 70 20 72 65 67 69 73 74 65 72 73 29 2e 0a 20 20 20 20 o-overhead.loop.registers)......
d8120 20 20 2a 20 20 52 65 71 75 69 72 65 64 20 70 61 72 61 6d 65 74 65 72 73 3a 0a 20 20 20 20 20 20 ..*..Required.parameters:.......
d8140 2a 20 20 20 20 20 20 70 74 72 20 20 20 20 20 20 20 20 20 53 61 76 65 20 61 72 65 61 20 70 6f 69 *......ptr.........Save.area.poi
d8160 6e 74 65 72 20 61 64 64 72 65 73 73 20 72 65 67 69 73 74 65 72 20 28 63 6c 6f 62 62 65 72 65 64 nter.address.register.(clobbered
d8180 29 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 28 72 65 67 69 ).......*..................(regi
d81a0 73 74 65 72 20 6d 75 73 74 20 63 6f 6e 74 61 69 6e 20 61 20 34 20 62 79 74 65 20 61 6c 69 67 6e ster.must.contain.a.4.byte.align
d81c0 65 64 20 61 64 64 72 65 73 73 29 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 61 74 31 2e 2e 61 ed.address)........*......at1..a
d81e0 74 34 20 20 20 20 46 6f 75 72 20 74 65 6d 70 6f 72 61 72 79 20 61 64 64 72 65 73 73 20 72 65 67 t4....Four.temporary.address.reg
d8200 69 73 74 65 72 73 20 28 66 69 72 73 74 20 58 43 48 41 4c 5f 4e 43 50 5f 4e 55 4d 5f 41 54 4d 50 isters.(first.XCHAL_NCP_NUM_ATMP
d8220 53 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 72 65 67 69 73 S.......*..................regis
d8240 74 65 72 73 20 61 72 65 20 63 6c 6f 62 62 65 72 65 64 2c 20 74 68 65 20 72 65 6d 61 69 6e 69 6e ters.are.clobbered,.the.remainin
d8260 67 20 61 72 65 20 75 6e 75 73 65 64 29 2e 0a 20 20 20 20 20 20 2a 20 20 4f 70 74 69 6f 6e 61 6c g.are.unused)........*..Optional
d8280 20 70 61 72 61 6d 65 74 65 72 73 3a 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 63 6f 6e 74 69 6e .parameters:.......*......contin
d82a0 75 65 20 20 20 20 49 66 20 6d 61 63 72 6f 20 69 6e 76 6f 6b 65 64 20 61 73 20 70 61 72 74 20 6f ue....If.macro.invoked.as.part.o
d82c0 66 20 61 20 6c 61 72 67 65 72 20 6c 6f 61 64 20 73 65 71 75 65 6e 63 65 2c 20 73 65 74 20 74 6f f.a.larger.load.sequence,.set.to
d82e0 20 31 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 69 66 20 74 .1.......*..................if.t
d8300 68 69 73 20 69 73 20 6e 6f 74 20 74 68 65 20 66 69 72 73 74 20 69 6e 20 74 68 65 20 73 65 71 75 his.is.not.the.first.in.the.sequ
d8320 65 6e 63 65 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 30 2e 0a 20 20 20 20 20 20 2a 20 20 20 ence...Defaults.to.0........*...
d8340 20 20 20 6f 66 73 20 20 20 20 20 20 20 20 20 4f 66 66 73 65 74 20 66 72 6f 6d 20 73 74 61 72 74 ...ofs.........Offset.from.start
d8360 20 6f 66 20 6c 61 72 67 65 72 20 73 65 71 75 65 6e 63 65 20 28 66 72 6f 6d 20 76 61 6c 75 65 20 .of.larger.sequence.(from.value.
d8380 6f 66 20 66 69 72 73 74 20 70 74 72 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 of.first.ptr.......*............
d83a0 20 20 20 20 20 20 69 6e 20 73 65 71 75 65 6e 63 65 29 20 61 74 20 77 68 69 63 68 20 74 6f 20 6c ......in.sequence).at.which.to.l
d83c0 6f 61 64 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 6e 65 78 74 20 61 76 61 69 6c 61 62 6c 65 oad...Defaults.to.next.available
d83e0 20 73 70 61 63 65 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 .space.......*..................
d8400 28 6f 72 20 30 20 69 66 20 3c 63 6f 6e 74 69 6e 75 65 3e 20 69 73 20 30 29 2e 0a 20 20 20 20 20 (or.0.if.<continue>.is.0).......
d8420 20 2a 20 20 20 20 20 20 73 65 6c 65 63 74 20 20 20 20 20 20 53 65 6c 65 63 74 20 77 68 61 74 20 .*......select......Select.what.
d8440 63 61 74 65 67 6f 72 79 28 69 65 73 29 20 6f 66 20 72 65 67 69 73 74 65 72 73 20 74 6f 20 6c 6f category(ies).of.registers.to.lo
d8460 61 64 2c 20 61 73 20 61 20 62 69 74 6d 61 73 6b 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 ad,.as.a.bitmask.......*........
d8480 20 20 20 20 20 20 20 20 20 20 28 73 65 65 20 58 54 48 41 4c 5f 53 41 53 5f 78 78 78 20 63 6f 6e ..........(see.XTHAL_SAS_xxx.con
d84a0 73 74 61 6e 74 73 29 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 61 6c 6c 20 72 65 67 69 73 74 stants)...Defaults.to.all.regist
d84c0 65 72 73 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 61 6c 6c 6f 63 20 20 20 20 20 20 20 53 65 ers........*......alloc.......Se
d84e0 6c 65 63 74 20 77 68 61 74 20 63 61 74 65 67 6f 72 79 28 69 65 73 29 20 6f 66 20 72 65 67 69 73 lect.what.category(ies).of.regis
d8500 74 65 72 73 20 74 6f 20 61 6c 6c 6f 63 61 74 65 3b 20 69 66 20 61 6e 79 0a 20 20 20 20 20 20 2a ters.to.allocate;.if.any.......*
d8520 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 63 61 74 65 67 6f 72 79 20 69 73 20 73 65 ..................category.is.se
d8540 6c 65 63 74 65 64 20 68 65 72 65 20 74 68 61 74 20 69 73 20 6e 6f 74 20 69 6e 20 3c 73 65 6c 65 lected.here.that.is.not.in.<sele
d8560 63 74 3e 2c 20 73 70 61 63 65 20 66 6f 72 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 ct>,.space.for.......*..........
d8580 20 20 20 20 20 20 20 20 74 68 65 20 63 6f 72 72 65 73 70 6f 6e 64 69 6e 67 20 72 65 67 69 73 74 ........the.corresponding.regist
d85a0 65 72 73 20 69 73 20 73 6b 69 70 70 65 64 20 77 69 74 68 6f 75 74 20 64 6f 69 6e 67 20 61 6e 79 ers.is.skipped.without.doing.any
d85c0 20 6c 6f 61 64 2e 0a 20 20 20 20 20 20 2a 2f 0a 20 20 20 20 2e 6d 61 63 72 6f 20 78 63 68 61 6c .load........*/......macro.xchal
d85e0 5f 6e 63 70 5f 6c 6f 61 64 20 20 70 74 72 20 61 74 31 20 61 74 32 20 61 74 33 20 61 74 34 20 20 _ncp_load..ptr.at1.at2.at3.at4..
d8600 63 6f 6e 74 69 6e 75 65 3d 30 20 6f 66 73 3d 2d 31 20 73 65 6c 65 63 74 3d 58 54 48 41 4c 5f 53 continue=0.ofs=-1.select=XTHAL_S
d8620 41 53 5f 41 4c 4c 20 61 6c 6c 6f 63 3d 30 0a 09 78 63 68 61 6c 5f 73 61 5f 73 74 61 72 74 09 5c AS_ALL.alloc=0..xchal_sa_start.\
d8640 63 6f 6e 74 69 6e 75 65 2c 20 5c 6f 66 73 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 67 6c 6f 62 continue,.\ofs..//.Optional.glob
d8660 61 6c 20 72 65 67 69 73 74 65 72 73 20 75 73 65 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 20 al.registers.used.by.default.by.
d8680 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 2e 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 5f the.compiler:...ifeq.(XTHAL_SAS_
d86a0 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 47 OPT.|.XTHAL_SAS_CC.|.XTHAL_SAS_G
d86c0 4c 4f 42 29 20 26 20 7e 28 5c 73 65 6c 65 63 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 LOB).&.~(\select)..xchal_sa_alig
d86e0 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 36 2c 20 34 2c 20 34 0a 09 6c 33 32 69 09 5c 61 74 31 n.\ptr,.0,.1016,.4,.4..l32i.\at1
d8700 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 30 0a 09 77 75 72 2e 54 48 52 45 ,.\ptr,..Lxchal_ofs_+0..wur.THRE
d8720 41 44 50 54 52 09 5c 61 74 31 09 09 2f 2f 20 74 68 72 65 61 64 70 74 72 20 6f 70 74 69 6f 6e 0a ADPTR.\at1..//.threadptr.option.
d8740 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f ..set..Lxchal_ofs_,..Lxchal_ofs_
d8760 20 2b 20 34 0a 09 2e 65 6c 73 65 69 66 20 28 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 .+.4...elseif.((XTHAL_SAS_OPT.|.
d8780 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 47 4c 4f 42 29 20 26 XTHAL_SAS_CC.|.XTHAL_SAS_GLOB).&
d87a0 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d 3d 20 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e .~(\alloc)).==.0..xchal_sa_align
d87c0 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 36 2c 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 .\ptr,.0,.1016,.4,.4...set..Lxch
d87e0 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 34 0a 09 2e 65 6e 64 69 al_ofs_,..Lxchal_ofs_.+.4...endi
d8800 66 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 72 65 67 69 f..//.Optional.caller-saved.regi
d8820 73 74 65 72 73 20 75 73 65 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 20 74 68 65 20 63 6f 6d sters.used.by.default.by.the.com
d8840 70 69 6c 65 72 3a 0a 09 2e 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 piler:...ifeq.(XTHAL_SAS_OPT.|.X
d8860 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 THAL_SAS_CC.|.XTHAL_SAS_CALR).&.
d8880 7e 28 5c 73 65 6c 65 63 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c ~(\select)..xchal_sa_align.\ptr,
d88a0 20 30 2c 20 31 30 31 32 2c 20 34 2c 20 34 0a 09 6c 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c .0,.1012,.4,.4..l32i.\at1,.\ptr,
d88c0 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 30 0a 09 77 73 72 2e 41 43 43 4c 4f 09 5c 61 74 31 09 ..Lxchal_ofs_+0..wsr.ACCLO.\at1.
d88e0 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 .//.MAC16.option..l32i.\at1,.\pt
d8900 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 34 0a 09 77 73 72 2e 41 43 43 48 49 09 5c 61 74 r,..Lxchal_ofs_+4..wsr.ACCHI.\at
d8920 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 1..//.MAC16.option...set..Lxchal
d8940 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 38 0a 09 2e 65 6c 73 65 69 66 _ofs_,..Lxchal_ofs_.+.8...elseif
d8960 20 28 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 .((XTHAL_SAS_OPT.|.XTHAL_SAS_CC.
d8980 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d |.XTHAL_SAS_CALR).&.~(\alloc)).=
d89a0 3d 20 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 =.0..xchal_sa_align.\ptr,.0,.101
d89c0 32 2c 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 2,.4,.4...set..Lxchal_ofs_,..Lxc
d89e0 68 61 6c 5f 6f 66 73 5f 20 2b 20 38 0a 09 2e 65 6e 64 69 66 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 hal_ofs_.+.8...endif..//.Optiona
d8a00 6c 20 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 72 65 67 69 73 74 65 72 73 20 6e 6f 74 20 75 73 65 l.caller-saved.registers.not.use
d8a20 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 2e d.by.default.by.the.compiler:...
d8a40 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f ifeq.(XTHAL_SAS_OPT.|.XTHAL_SAS_
d8a60 4e 4f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 73 65 6c 65 NOCC.|.XTHAL_SAS_CALR).&.~(\sele
d8a80 63 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 30 ct)..xchal_sa_align.\ptr,.0,.100
d8aa0 30 2c 20 34 2c 20 34 0a 09 6c 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 0,.4,.4..l32i.\at1,.\ptr,..Lxcha
d8ac0 6c 5f 6f 66 73 5f 2b 30 0a 09 77 73 72 2e 53 43 4f 4d 50 41 52 45 31 09 5c 61 74 31 09 09 2f 2f l_ofs_+0..wsr.SCOMPARE1.\at1..//
d8ae0 20 63 6f 6e 64 69 74 69 6f 6e 61 6c 20 73 74 6f 72 65 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 .conditional.store.option..l32i.
d8b00 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 34 0a 09 77 73 72 2e \at1,.\ptr,..Lxchal_ofs_+4..wsr.
d8b20 4d 30 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 5c M0.\at1..//.MAC16.option..l32i.\
d8b40 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 38 0a 09 77 73 72 2e 4d at1,.\ptr,..Lxchal_ofs_+8..wsr.M
d8b60 31 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 5c 61 1.\at1..//.MAC16.option..l32i.\a
d8b80 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 31 32 0a 09 77 73 72 2e 4d t1,.\ptr,..Lxchal_ofs_+12..wsr.M
d8ba0 32 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 5c 61 2.\at1..//.MAC16.option..l32i.\a
d8bc0 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 31 36 0a 09 77 73 72 2e 4d t1,.\ptr,..Lxchal_ofs_+16..wsr.M
d8be0 33 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 2e 73 65 74 09 2e 4c 3.\at1..//.MAC16.option...set..L
d8c00 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 32 30 0a 09 2e xchal_ofs_,..Lxchal_ofs_.+.20...
d8c20 65 6c 73 65 69 66 20 28 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 elseif.((XTHAL_SAS_OPT.|.XTHAL_S
d8c40 41 53 5f 4e 4f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 61 AS_NOCC.|.XTHAL_SAS_CALR).&.~(\a
d8c60 6c 6c 6f 63 29 29 20 3d 3d 20 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 lloc)).==.0..xchal_sa_align.\ptr
d8c80 2c 20 30 2c 20 31 30 30 30 2c 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 ,.0,.1000,.4,.4...set..Lxchal_of
d8ca0 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 32 30 0a 09 2e 65 6e 64 69 66 0a 20 20 s_,..Lxchal_ofs_.+.20...endif...
d8cc0 20 20 2e 65 6e 64 6d 09 2f 2f 20 78 63 68 61 6c 5f 6e 63 70 5f 6c 6f 61 64 0a 0a 0a 23 64 65 66 ...endm.//.xchal_ncp_load...#def
d8ce0 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 4e 55 4d 5f 41 54 4d 50 53 09 31 0a 0a 23 64 65 66 69 ine.XCHAL_NCP_NUM_ATMPS.1..#defi
d8d00 6e 65 20 58 43 48 41 4c 5f 53 41 5f 4e 55 4d 5f 41 54 4d 50 53 09 31 0a 0a 23 65 6e 64 69 66 20 ne.XCHAL_SA_NUM_ATMPS.1..#endif.
d8d20 2f 2a 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 41 53 4d 5f 48 2a 2f 0a 0a 00 00 00 00 /*_XTENSA_CORE_TIE_ASM_H*/......
d8d40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8d60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8d80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8da0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8dc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8de0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8e00 75 2d 62 6f 6f 74 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 u-boot/.........................
d8e20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8e40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8e60 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
d8e80 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 32 34 33 37 00 20 35 00 00 00 0000000.13044774476.012437..5...
d8ea0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8ec0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8ee0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8f00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
d8f20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
d8f40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8f60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8f80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8fa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8fc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d8fe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9000 75 2d 62 6f 6f 74 2f 61 72 63 68 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 u-boot/arch/....................
d9020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9060 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
d9080 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 33 33 35 34 00 20 35 00 00 00 0000000.13044774476.013354..5...
d90a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d90c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d90e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9100 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
d9120 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
d9140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d91a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d91c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d91e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9200 75 2d 62 6f 6f 74 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 u-boot/arch/xtensa/.............
d9220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9260 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
d9280 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 34 36 35 36 00 20 35 00 00 00 0000000.13044774476.014656..5...
d92a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d92c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d92e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9300 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
d9320 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
d9340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d93a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d93c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d93e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9400 75 2d 62 6f 6f 74 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 69 6e 63 6c 75 64 65 2f 00 00 00 00 00 u-boot/arch/xtensa/include/.....
d9420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9460 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
d9480 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 36 33 30 31 00 20 35 00 00 00 0000000.13044774476.016301..5...
d94a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d94c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d94e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9500 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
d9520 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
d9540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d95a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d95c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d95e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9600 75 2d 62 6f 6f 74 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 69 6e 63 6c 75 64 65 2f 61 73 6d 2f 00 u-boot/arch/xtensa/include/asm/.
d9620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9660 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
d9680 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 31 37 30 36 31 00 20 35 00 00 00 0000000.13044774476.017061..5...
d96a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d96c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d96e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9700 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
d9720 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
d9740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d97a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d97c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d97e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9800 75 2d 62 6f 6f 74 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 69 6e 63 6c 75 64 65 2f 61 73 6d 2f 61 u-boot/arch/xtensa/include/asm/a
d9820 72 63 68 2d 64 63 32 33 33 63 5f 63 61 6c 6c 30 2f 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 rch-dc233c_call0/...............
d9840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9860 00 00 00 00 30 30 30 30 37 35 35 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000755.0000764.0000764.0000
d9880 30 30 30 30 30 30 30 00 31 33 30 34 34 37 37 34 34 37 36 00 30 32 32 30 33 30 00 20 35 00 00 00 0000000.13044774476.022030..5...
d98a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d98c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d98e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9900 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
d9920 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
d9940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d99a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d99c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d99e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9a00 75 2d 62 6f 6f 74 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 69 6e 63 6c 75 64 65 2f 61 73 6d 2f 61 u-boot/arch/xtensa/include/asm/a
d9a20 72 63 68 2d 64 63 32 33 33 63 5f 63 61 6c 6c 30 2f 74 69 65 2e 68 00 00 00 00 00 00 00 00 00 00 rch-dc233c_call0/tie.h..........
d9a40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9a60 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
d9a80 30 30 31 35 33 31 37 00 31 33 30 31 33 34 32 31 34 36 37 00 30 32 32 37 35 32 00 20 30 00 00 00 0015317.13013421467.022752..0...
d9aa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9ac0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9ae0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9b00 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
d9b20 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
d9b40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9b60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9b80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9ba0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9bc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9be0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
d9c00 2f 2a 20 0a 20 2a 20 74 69 65 2e 68 20 2d 2d 20 63 6f 6d 70 69 6c 65 2d 74 69 6d 65 20 48 41 4c /*...*.tie.h.--.compile-time.HAL
d9c20 20 64 65 66 69 6e 69 74 69 6f 6e 73 20 64 65 70 65 6e 64 65 6e 74 20 6f 6e 20 43 4f 52 45 20 26 .definitions.dependent.on.CORE.&
d9c40 20 54 49 45 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 0a 20 2a 0a 20 2a 20 20 4e 4f 54 45 3a 20 .TIE.configuration..*..*..NOTE:.
d9c60 20 54 68 69 73 20 68 65 61 64 65 72 20 66 69 6c 65 20 69 73 20 6e 6f 74 20 6d 65 61 6e 74 20 74 .This.header.file.is.not.meant.t
d9c80 6f 20 62 65 20 69 6e 63 6c 75 64 65 64 20 64 69 72 65 63 74 6c 79 2e 0a 20 2a 2f 0a 0a 2f 2a 20 o.be.included.directly...*/../*.
d9ca0 54 68 69 73 20 68 65 61 64 65 72 20 66 69 6c 65 20 64 65 73 63 72 69 62 65 73 20 74 68 69 73 20 This.header.file.describes.this.
d9cc0 73 70 65 63 69 66 69 63 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 27 73 20 54 49 45 20 specific.Xtensa.processor's.TIE.
d9ce0 65 78 74 65 6e 73 69 6f 6e 73 0a 20 20 20 74 68 61 74 20 65 78 74 65 6e 64 20 62 61 73 69 63 20 extensions....that.extend.basic.
d9d00 58 74 65 6e 73 61 20 63 6f 72 65 20 66 75 6e 63 74 69 6f 6e 61 6c 69 74 79 2e 20 20 49 74 20 69 Xtensa.core.functionality...It.i
d9d20 73 20 63 75 73 74 6f 6d 69 7a 65 64 20 74 6f 20 74 68 69 73 0a 20 20 20 58 74 65 6e 73 61 20 70 s.customized.to.this....Xtensa.p
d9d40 72 6f 63 65 73 73 6f 72 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 2e 0a 0a 20 20 20 43 6f 70 79 rocessor.configuration......Copy
d9d60 72 69 67 68 74 20 28 63 29 20 31 39 39 39 2d 32 30 31 36 20 43 61 64 65 6e 63 65 20 44 65 73 69 right.(c).1999-2016.Cadence.Desi
d9d80 67 6e 20 53 79 73 74 65 6d 73 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 73 73 69 6f 6e 20 69 gn.Systems.Inc......Permission.i
d9da0 73 20 68 65 72 65 62 79 20 67 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 20 63 68 61 72 67 65 s.hereby.granted,.free.of.charge
d9dc0 2c 20 74 6f 20 61 6e 79 20 70 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 0a 20 20 20 61 20 63 ,.to.any.person.obtaining....a.c
d9de0 6f 70 79 20 6f 66 20 74 68 69 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 61 73 73 6f 63 69 61 opy.of.this.software.and.associa
d9e00 74 65 64 20 64 6f 63 75 6d 65 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 74 68 65 0a 20 20 20 ted.documentation.files.(the....
d9e20 22 53 6f 66 74 77 61 72 65 22 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 68 65 20 53 6f 66 74 "Software"),.to.deal.in.the.Soft
d9e40 77 61 72 65 20 77 69 74 68 6f 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c 20 69 6e 63 6c 75 64 ware.without.restriction,.includ
d9e60 69 6e 67 0a 20 20 20 77 69 74 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e 20 74 68 65 20 72 69 ing....without.limitation.the.ri
d9e80 67 68 74 73 20 74 6f 20 75 73 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 2c 20 6d 65 72 67 65 ghts.to.use,.copy,.modify,.merge
d9ea0 2c 20 70 75 62 6c 69 73 68 2c 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c 20 73 75 62 6c 69 63 ,.publish,....distribute,.sublic
d9ec0 65 6e 73 65 2c 20 61 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 6f 66 20 74 68 65 20 ense,.and/or.sell.copies.of.the.
d9ee0 53 6f 66 74 77 61 72 65 2c 20 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 74 20 70 65 72 73 6f Software,.and.to....permit.perso
d9f00 6e 73 20 74 6f 20 77 68 6f 6d 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 73 20 66 75 72 6e 69 ns.to.whom.the.Software.is.furni
d9f20 73 68 65 64 20 74 6f 20 64 6f 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f 0a 20 20 20 74 68 65 shed.to.do.so,.subject.to....the
d9f40 20 66 6f 6c 6c 6f 77 69 6e 67 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 20 20 54 68 65 20 61 .following.conditions:.....The.a
d9f60 62 6f 76 65 20 63 6f 70 79 72 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 20 74 68 69 73 20 70 bove.copyright.notice.and.this.p
d9f80 65 72 6d 69 73 73 69 6f 6e 20 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 20 69 6e 63 6c 75 64 ermission.notice.shall.be.includ
d9fa0 65 64 0a 20 20 20 69 6e 20 61 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 62 73 74 61 6e 74 69 ed....in.all.copies.or.substanti
d9fc0 61 6c 20 70 6f 72 74 69 6f 6e 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2e 0a 0a 20 20 al.portions.of.the.Software.....
d9fe0 20 54 48 45 20 53 4f 46 54 57 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 20 22 41 53 20 49 53 .THE.SOFTWARE.IS.PROVIDED."AS.IS
da000 22 2c 20 57 49 54 48 4f 55 54 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e 59 20 4b 49 4e 44 2c ",.WITHOUT.WARRANTY.OF.ANY.KIND,
da020 0a 20 20 20 45 58 50 52 45 53 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 4e 43 4c 55 44 49 4e ....EXPRESS.OR.IMPLIED,.INCLUDIN
da040 47 20 42 55 54 20 4e 4f 54 20 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 57 41 52 52 41 4e 54 G.BUT.NOT.LIMITED.TO.THE.WARRANT
da060 49 45 53 20 4f 46 0a 20 20 20 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 2c 20 46 49 54 4e 45 IES.OF....MERCHANTABILITY,.FITNE
da080 53 53 20 46 4f 52 20 41 20 50 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 20 41 4e 44 20 SS.FOR.A.PARTICULAR.PURPOSE.AND.
da0a0 4e 4f 4e 49 4e 46 52 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f 20 45 56 45 4e 54 20 NONINFRINGEMENT.....IN.NO.EVENT.
da0c0 53 48 41 4c 4c 20 54 48 45 20 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 52 49 47 48 54 20 48 SHALL.THE.AUTHORS.OR.COPYRIGHT.H
da0e0 4f 4c 44 45 52 53 20 42 45 20 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a 20 20 20 43 4c 41 49 OLDERS.BE.LIABLE.FOR.ANY....CLAI
da100 4d 2c 20 44 41 4d 41 47 45 53 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 4c 49 54 59 2c 20 57 M,.DAMAGES.OR.OTHER.LIABILITY,.W
da120 48 45 54 48 45 52 20 49 4e 20 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f 4e 54 52 41 43 54 2c HETHER.IN.AN.ACTION.OF.CONTRACT,
da140 0a 20 20 20 54 4f 52 54 20 4f 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 49 53 49 4e 47 20 46 ....TORT.OR.OTHERWISE,.ARISING.F
da160 52 4f 4d 2c 20 4f 55 54 20 4f 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 49 4f 4e 20 57 49 54 ROM,.OUT.OF.OR.IN.CONNECTION.WIT
da180 48 20 54 48 45 0a 20 20 20 53 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 55 53 45 20 4f 52 20 H.THE....SOFTWARE.OR.THE.USE.OR.
da1a0 4f 54 48 45 52 20 44 45 41 4c 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 54 57 41 52 45 2e 20 OTHER.DEALINGS.IN.THE.SOFTWARE..
da1c0 20 2a 2f 0a 0a 23 69 66 6e 64 65 66 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 48 0a .*/..#ifndef._XTENSA_CORE_TIE_H.
da1e0 23 64 65 66 69 6e 65 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 48 0a 0a 23 64 65 66 #define._XTENSA_CORE_TIE_H..#def
da200 69 6e 65 20 58 43 48 41 4c 5f 43 50 5f 4e 55 4d 09 09 09 31 09 2f 2a 20 6e 75 6d 62 65 72 20 6f ine.XCHAL_CP_NUM...1./*.number.o
da220 66 20 63 6f 70 72 6f 63 65 73 73 6f 72 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f f.coprocessors.*/.#define.XCHAL_
da240 43 50 5f 4d 41 58 09 09 09 38 09 2f 2a 20 6d 61 78 20 43 50 20 49 44 20 2b 20 31 20 28 30 20 69 CP_MAX...8./*.max.CP.ID.+.1.(0.i
da260 66 20 6e 6f 6e 65 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 5f 4d 41 53 4b f.none).*/.#define.XCHAL_CP_MASK
da280 09 09 09 30 78 38 30 09 2f 2a 20 62 69 74 6d 61 73 6b 20 6f 66 20 61 6c 6c 20 43 50 73 20 62 79 ...0x80./*.bitmask.of.all.CPs.by
da2a0 20 49 44 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 5f 50 4f 52 54 5f 4d 41 53 .ID.*/.#define.XCHAL_CP_PORT_MAS
da2c0 4b 09 09 30 78 38 30 09 2f 2a 20 62 69 74 6d 61 73 6b 20 6f 66 20 6f 6e 6c 79 20 70 6f 72 74 20 K..0x80./*.bitmask.of.only.port.
da2e0 43 50 73 20 2a 2f 0a 0a 2f 2a 20 20 42 61 73 69 63 20 70 61 72 61 6d 65 74 65 72 73 20 6f 66 20 CPs.*/../*..Basic.parameters.of.
da300 65 61 63 68 20 63 6f 70 72 6f 63 65 73 73 6f 72 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 each.coprocessor:..*/.#define.XC
da320 48 41 4c 5f 43 50 37 5f 4e 41 4d 45 09 09 09 22 58 54 49 4f 50 22 0a 23 64 65 66 69 6e 65 20 58 HAL_CP7_NAME..."XTIOP".#define.X
da340 43 48 41 4c 5f 43 50 37 5f 49 44 45 4e 54 09 09 09 58 54 49 4f 50 0a 23 64 65 66 69 6e 65 20 58 CHAL_CP7_IDENT...XTIOP.#define.X
da360 43 48 41 4c 5f 43 50 37 5f 53 41 5f 53 49 5a 45 09 09 30 09 2f 2a 20 73 69 7a 65 20 6f 66 20 73 CHAL_CP7_SA_SIZE..0./*.size.of.s
da380 74 61 74 65 20 73 61 76 65 20 61 72 65 61 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f tate.save.area.*/.#define.XCHAL_
da3a0 43 50 37 5f 53 41 5f 41 4c 49 47 4e 09 09 31 09 2f 2a 20 6d 69 6e 20 61 6c 69 67 6e 6d 65 6e 74 CP7_SA_ALIGN..1./*.min.alignment
da3c0 20 6f 66 20 73 61 76 65 20 61 72 65 61 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 .of.save.area.*/.#define.XCHAL_C
da3e0 50 5f 49 44 5f 58 54 49 4f 50 20 20 20 20 20 20 20 20 20 20 20 09 37 09 2f 2a 20 63 6f 70 72 6f P_ID_XTIOP............7./*.copro
da400 63 65 73 73 6f 72 20 49 44 20 28 30 2e 2e 37 29 20 2a 2f 0a 0a 2f 2a 20 20 46 69 6c 6c 65 72 20 cessor.ID.(0..7).*/../*..Filler.
da420 69 6e 66 6f 20 66 6f 72 20 75 6e 61 73 73 69 67 6e 65 64 20 63 6f 70 72 6f 63 65 73 73 6f 72 73 info.for.unassigned.coprocessors
da440 2c 20 74 6f 20 73 69 6d 70 6c 69 66 79 20 61 72 72 61 79 73 20 65 74 63 3a 20 20 2a 2f 0a 23 64 ,.to.simplify.arrays.etc:..*/.#d
da460 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 30 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 66 69 efine.XCHAL_CP0_SA_SIZE..0.#defi
da480 6e 65 20 58 43 48 41 4c 5f 43 50 30 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 23 64 65 66 69 6e 65 ne.XCHAL_CP0_SA_ALIGN..1.#define
da4a0 20 58 43 48 41 4c 5f 43 50 31 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 .XCHAL_CP1_SA_SIZE..0.#define.XC
da4c0 48 41 4c 5f 43 50 31 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 HAL_CP1_SA_ALIGN..1.#define.XCHA
da4e0 4c 5f 43 50 32 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 L_CP2_SA_SIZE..0.#define.XCHAL_C
da500 50 32 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 33 P2_SA_ALIGN..1.#define.XCHAL_CP3
da520 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 33 5f 53 41 _SA_SIZE..0.#define.XCHAL_CP3_SA
da540 5f 41 4c 49 47 4e 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 34 5f 53 41 5f 53 _ALIGN..1.#define.XCHAL_CP4_SA_S
da560 49 5a 45 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 34 5f 53 41 5f 41 4c 49 47 IZE..0.#define.XCHAL_CP4_SA_ALIG
da580 4e 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 35 5f 53 41 5f 53 49 5a 45 09 09 N..1.#define.XCHAL_CP5_SA_SIZE..
da5a0 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 35 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 0.#define.XCHAL_CP5_SA_ALIGN..1.
da5c0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 36 5f 53 41 5f 53 49 5a 45 09 09 30 0a 23 64 65 #define.XCHAL_CP6_SA_SIZE..0.#de
da5e0 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 36 5f 53 41 5f 41 4c 49 47 4e 09 09 31 0a 0a 2f 2a 20 20 fine.XCHAL_CP6_SA_ALIGN..1../*..
da600 53 61 76 65 20 61 72 65 61 20 66 6f 72 20 6e 6f 6e 2d 63 6f 70 72 6f 63 65 73 73 6f 72 20 6f 70 Save.area.for.non-coprocessor.op
da620 74 69 6f 6e 61 6c 20 61 6e 64 20 63 75 73 74 6f 6d 20 28 54 49 45 29 20 73 74 61 74 65 3a 20 20 tional.and.custom.(TIE).state:..
da640 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 53 41 5f 53 49 5a 45 09 09 33 32 */.#define.XCHAL_NCP_SA_SIZE..32
da660 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 53 41 5f 41 4c 49 47 4e 09 09 34 0a 0a .#define.XCHAL_NCP_SA_ALIGN..4..
da680 2f 2a 20 20 54 6f 74 61 6c 20 73 61 76 65 20 61 72 65 61 20 66 6f 72 20 6f 70 74 69 6f 6e 61 6c /*..Total.save.area.for.optional
da6a0 20 61 6e 64 20 63 75 73 74 6f 6d 20 73 74 61 74 65 20 28 4e 43 50 20 2b 20 43 50 6e 29 3a 20 20 .and.custom.state.(NCP.+.CPn):..
da6c0 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 4f 54 41 4c 5f 53 41 5f 53 49 5a 45 09 09 */.#define.XCHAL_TOTAL_SA_SIZE..
da6e0 33 32 09 2f 2a 20 77 69 74 68 20 31 36 2d 62 79 74 65 20 61 6c 69 67 6e 20 70 61 64 64 69 6e 67 32./*.with.16-byte.align.padding
da700 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 4f 54 41 4c 5f 53 41 5f 41 4c 49 47 4e .*/.#define.XCHAL_TOTAL_SA_ALIGN
da720 09 09 34 09 2f 2a 20 61 63 74 75 61 6c 20 6d 69 6e 69 6d 75 6d 20 61 6c 69 67 6e 6d 65 6e 74 20 ..4./*.actual.minimum.alignment.
da740 2a 2f 0a 0a 2f 2a 0a 20 2a 20 44 65 74 61 69 6c 65 64 20 63 6f 6e 74 65 6e 74 73 20 6f 66 20 73 */../*..*.Detailed.contents.of.s
da760 61 76 65 20 61 72 65 61 73 2e 0a 20 2a 20 4e 4f 54 45 3a 20 20 63 61 6c 6c 65 72 20 6d 75 73 74 ave.areas...*.NOTE:..caller.must
da780 20 64 65 66 69 6e 65 20 74 68 65 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 20 6d 61 63 72 6f 20 28 .define.the.XCHAL_SA_REG.macro.(
da7a0 6e 6f 74 20 64 65 66 69 6e 65 64 20 68 65 72 65 29 0a 20 2a 20 62 65 66 6f 72 65 20 65 78 70 61 not.defined.here)..*.before.expa
da7c0 6e 64 69 6e 67 20 74 68 65 20 58 43 48 41 4c 5f 78 78 78 5f 53 41 5f 4c 49 53 54 28 29 20 6d 61 nding.the.XCHAL_xxx_SA_LIST().ma
da7e0 63 72 6f 73 2e 0a 20 2a 0a 20 2a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 63 63 75 73 65 cros...*..*.XCHAL_SA_REG(s,ccuse
da800 64 2c 61 62 69 6b 69 6e 64 2c 6b 69 6e 64 2c 6f 70 74 2c 6e 61 6d 65 2c 67 61 6c 69 67 6e 2c 61 d,abikind,kind,opt,name,galign,a
da820 6c 69 67 6e 2c 61 73 69 7a 65 2c 0a 20 2a 09 09 64 62 6e 75 6d 2c 62 61 73 65 2c 72 65 67 6e 75 lign,asize,..*..dbnum,base,regnu
da840 6d 2c 62 69 74 73 7a 2c 67 61 70 73 7a 2c 72 65 73 65 74 2c 78 2e 2e 2e 29 0a 20 2a 0a 20 2a 09 m,bitsz,gapsz,reset,x...)..*..*.
da860 73 20 3d 20 70 61 73 73 65 64 20 66 72 6f 6d 20 58 43 48 41 4c 5f 2a 5f 4c 49 53 54 28 73 29 2c s.=.passed.from.XCHAL_*_LIST(s),
da880 20 65 67 2e 20 74 6f 20 73 65 6c 65 63 74 20 68 6f 77 20 74 6f 20 65 78 70 61 6e 64 0a 20 2a 09 .eg..to.select.how.to.expand..*.
da8a0 63 63 75 73 65 64 20 3d 20 73 65 74 20 69 66 20 75 73 65 64 20 62 79 20 63 6f 6d 70 69 6c 65 72 ccused.=.set.if.used.by.compiler
da8c0 20 77 69 74 68 6f 75 74 20 73 70 65 63 69 61 6c 20 6f 70 74 69 6f 6e 73 20 6f 72 20 63 6f 64 65 .without.special.options.or.code
da8e0 0a 20 2a 09 61 62 69 6b 69 6e 64 20 3d 20 30 20 28 63 61 6c 6c 65 72 2d 73 61 76 65 64 29 2c 20 ..*.abikind.=.0.(caller-saved),.
da900 31 20 28 63 61 6c 6c 65 65 2d 73 61 76 65 64 29 2c 20 6f 72 20 32 20 28 74 68 72 65 61 64 2d 67 1.(callee-saved),.or.2.(thread-g
da920 6c 6f 62 61 6c 29 0a 20 2a 09 6b 69 6e 64 20 3d 20 30 20 28 73 70 65 63 69 61 6c 20 72 65 67 29 lobal)..*.kind.=.0.(special.reg)
da940 2c 20 31 20 28 54 49 45 20 75 73 65 72 20 72 65 67 29 2c 20 6f 72 20 32 20 28 54 49 45 20 72 65 ,.1.(TIE.user.reg),.or.2.(TIE.re
da960 67 66 69 6c 65 20 72 65 67 29 0a 20 2a 09 6f 70 74 20 3d 20 30 20 28 63 75 73 74 6f 6d 20 54 49 gfile.reg)..*.opt.=.0.(custom.TI
da980 45 20 65 78 74 65 6e 73 69 6f 6e 20 6f 72 20 63 6f 70 72 6f 63 65 73 73 6f 72 29 2c 20 6f 72 20 E.extension.or.coprocessor),.or.
da9a0 31 20 28 6f 70 74 69 6f 6e 61 6c 20 72 65 67 29 0a 20 2a 09 6e 61 6d 65 20 3d 20 6c 6f 77 65 72 1.(optional.reg)..*.name.=.lower
da9c0 63 61 73 65 20 72 65 67 20 6e 61 6d 65 20 28 6e 6f 20 71 75 6f 74 65 73 29 0a 20 2a 09 67 61 6c case.reg.name.(no.quotes)..*.gal
da9e0 69 67 6e 20 3d 20 67 72 6f 75 70 20 62 79 74 65 20 61 6c 69 67 6e 6d 65 6e 74 20 28 70 6f 77 65 ign.=.group.byte.alignment.(powe
daa00 72 20 6f 66 20 32 29 20 28 67 61 6c 69 67 6e 20 3e 3d 20 61 6c 69 67 6e 29 0a 20 2a 09 61 6c 69 r.of.2).(galign.>=.align)..*.ali
daa20 67 6e 20 3d 20 72 65 67 69 73 74 65 72 20 62 79 74 65 20 61 6c 69 67 6e 6d 65 6e 74 20 28 70 6f gn.=.register.byte.alignment.(po
daa40 77 65 72 20 6f 66 20 32 29 0a 20 2a 09 61 73 69 7a 65 20 3d 20 61 6c 6c 6f 63 61 74 65 64 20 73 wer.of.2)..*.asize.=.allocated.s
daa60 69 7a 65 20 69 6e 20 62 79 74 65 73 20 28 61 73 69 7a 65 2a 38 20 3d 3d 20 62 69 74 73 7a 20 2b ize.in.bytes.(asize*8.==.bitsz.+
daa80 20 67 61 70 73 7a 20 2b 20 70 61 64 73 7a 29 0a 20 2a 09 20 20 28 6e 6f 74 20 69 6e 63 6c 75 64 .gapsz.+.padsz)..*...(not.includ
daaa0 69 6e 67 20 61 6e 79 20 70 61 64 20 62 79 74 65 73 20 72 65 71 75 69 72 65 64 20 74 6f 20 67 61 ing.any.pad.bytes.required.to.ga
daac0 6c 69 67 6e 20 74 68 69 73 20 6f 72 20 6e 65 78 74 20 72 65 67 29 0a 20 2a 09 64 62 6e 75 6d 20 lign.this.or.next.reg)..*.dbnum.
daae0 3d 20 75 6e 69 71 75 65 20 74 61 72 67 65 74 20 6e 75 6d 62 65 72 20 66 2f 64 65 62 75 67 20 28 =.unique.target.number.f/debug.(
dab00 73 65 65 20 3c 78 74 65 6e 73 61 2d 6c 69 62 64 62 2d 6d 61 63 72 6f 73 2e 68 3e 29 0a 20 2a 09 see.<xtensa-libdb-macros.h>)..*.
dab20 62 61 73 65 20 3d 20 72 65 67 20 73 68 6f 72 74 6e 61 6d 65 20 77 2f 6f 20 69 6e 64 65 78 20 28 base.=.reg.shortname.w/o.index.(
dab40 6f 72 20 73 72 3d 73 70 65 63 69 61 6c 2c 20 75 72 3d 54 49 45 20 75 73 65 72 20 72 65 67 29 0a or.sr=special,.ur=TIE.user.reg).
dab60 20 2a 09 72 65 67 6e 75 6d 20 3d 20 72 65 67 20 69 6e 64 65 78 20 69 6e 20 72 65 67 66 69 6c 65 .*.regnum.=.reg.index.in.regfile
dab80 2c 20 6f 72 20 73 70 65 63 69 61 6c 2f 54 49 45 2d 75 73 65 72 20 72 65 67 20 6e 75 6d 62 65 72 ,.or.special/TIE-user.reg.number
daba0 0a 20 2a 09 62 69 74 73 7a 20 3d 20 6e 75 6d 62 65 72 20 6f 66 20 73 69 67 6e 69 66 69 63 61 6e ..*.bitsz.=.number.of.significan
dabc0 74 20 62 69 74 73 20 28 72 65 67 66 69 6c 65 20 77 69 64 74 68 2c 20 6f 72 20 75 72 2f 73 72 20 t.bits.(regfile.width,.or.ur/sr.
dabe0 6d 61 73 6b 20 62 69 74 73 29 0a 20 2a 09 67 61 70 73 7a 20 3d 20 69 6e 74 65 72 76 65 6e 69 6e mask.bits)..*.gapsz.=.intervenin
dac00 67 20 62 69 74 73 2c 20 69 66 20 62 69 74 73 7a 20 62 69 74 73 20 6e 6f 74 20 73 74 6f 72 65 64 g.bits,.if.bitsz.bits.not.stored
dac20 20 63 6f 6e 74 69 67 75 6f 75 73 6c 79 0a 20 2a 09 28 70 61 64 73 7a 20 3d 20 70 61 64 20 62 69 .contiguously..*.(padsz.=.pad.bi
dac40 74 73 20 61 74 20 65 6e 64 20 5b 54 49 45 20 72 65 67 66 69 6c 65 5d 20 6f 72 20 61 74 20 6d 73 ts.at.end.[TIE.regfile].or.at.ms
dac60 62 69 74 73 20 5b 75 72 2c 73 72 5d 20 6f 66 20 61 73 69 7a 65 29 0a 20 2a 09 72 65 73 65 74 20 bits.[ur,sr].of.asize)..*.reset.
dac80 3d 20 72 65 67 69 73 74 65 72 20 72 65 73 65 74 20 76 61 6c 75 65 20 28 6f 72 20 30 20 69 66 20 =.register.reset.value.(or.0.if.
daca0 75 6e 64 65 66 69 6e 65 64 20 61 74 20 72 65 73 65 74 29 0a 20 2a 09 78 20 3d 20 72 65 73 65 72 undefined.at.reset)..*.x.=.reser
dacc0 76 65 64 20 66 6f 72 20 66 75 74 75 72 65 20 75 73 65 20 28 30 20 75 6e 74 69 6c 20 74 68 65 6e ved.for.future.use.(0.until.then
dace0 29 0a 20 2a 0a 20 2a 20 20 54 6f 20 66 69 6c 74 65 72 20 6f 75 74 20 63 65 72 74 61 69 6e 20 72 )..*..*..To.filter.out.certain.r
dad00 65 67 69 73 74 65 72 73 2c 20 65 2e 67 2e 20 74 6f 20 65 78 70 61 6e 64 20 6f 6e 6c 79 20 74 68 egisters,.e.g..to.expand.only.th
dad20 65 20 6e 6f 6e 2d 67 6c 6f 62 61 6c 0a 20 2a 20 20 72 65 67 69 73 74 65 72 73 20 75 73 65 64 20 e.non-global..*..registers.used.
dad40 62 79 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 2c 20 79 6f 75 20 63 61 6e 20 64 6f 20 73 6f 6d 65 by.the.compiler,.you.can.do.some
dad60 74 68 69 6e 67 20 6c 69 6b 65 20 74 68 69 73 3a 0a 20 2a 0a 20 2a 20 20 23 64 65 66 69 6e 65 20 thing.like.this:..*..*..#define.
dad80 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 63 63 75 73 65 64 2c 70 2e 2e 2e 29 09 53 45 4c 43 XCHAL_SA_REG(s,ccused,p...).SELC
dada0 43 23 23 63 63 75 73 65 64 28 70 29 0a 20 2a 20 20 23 64 65 66 69 6e 65 20 53 45 4c 43 43 30 28 C##ccused(p)..*..#define.SELCC0(
dadc0 70 2e 2e 2e 29 0a 20 2a 20 20 23 64 65 66 69 6e 65 20 53 45 4c 43 43 31 28 61 62 69 6b 69 6e 64 p...)..*..#define.SELCC1(abikind
dade0 2c 70 2e 2e 2e 29 09 53 45 4c 41 4b 23 23 61 62 69 6b 69 6e 64 28 70 29 0a 20 2a 20 20 23 64 65 ,p...).SELAK##abikind(p)..*..#de
dae00 66 69 6e 65 20 53 45 4c 41 4b 30 28 70 2e 2e 2e 29 09 09 52 45 47 28 70 29 0a 20 2a 20 20 23 64 fine.SELAK0(p...)..REG(p)..*..#d
dae20 65 66 69 6e 65 20 53 45 4c 41 4b 31 28 70 2e 2e 2e 29 09 09 52 45 47 28 70 29 0a 20 2a 20 20 23 efine.SELAK1(p...)..REG(p)..*..#
dae40 64 65 66 69 6e 65 20 53 45 4c 41 4b 32 28 70 2e 2e 2e 29 0a 20 2a 20 20 23 64 65 66 69 6e 65 20 define.SELAK2(p...)..*..#define.
dae60 52 45 47 28 6b 69 6e 64 2c 74 69 65 2c 6e 61 6d 65 2c 67 61 6c 6e 2c 61 6c 6e 2c 61 73 7a 2c 63 REG(kind,tie,name,galn,aln,asz,c
dae80 73 7a 2c 64 62 6e 75 6d 2c 62 61 73 65 2c 72 6e 75 6d 2c 62 73 7a 2c 72 73 74 2c 78 2e 2e 2e 29 sz,dbnum,base,rnum,bsz,rst,x...)
daea0 20 5c 0a 20 2a 09 09 2e 2e 2e 77 68 61 74 20 79 6f 75 20 77 61 6e 74 20 74 6f 20 65 78 70 61 6e .\..*.....what.you.want.to.expan
daec0 64 2e 2e 2e 0a 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 53 41 5f 4e d.....*/..#define.XCHAL_NCP_SA_N
daee0 55 4d 09 38 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 53 41 5f 4c 49 53 54 28 73 UM.8.#define.XCHAL_NCP_SA_LIST(s
daf00 29 09 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 31 2c 32 2c 31 2c 31 2c 20 20 20 20 ).\..XCHAL_SA_REG(s,1,2,1,1,....
daf20 20 20 74 68 72 65 61 64 70 74 72 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 33 45 37 2c 20 20 75 72 ..threadptr,.4,.4,.4,0x03E7,..ur
daf40 2c 32 33 31 2c 20 33 32 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 ,231,.32,0,0,0).\..XCHAL_SA_REG(
daf60 73 2c 31 2c 30 2c 30 2c 31 2c 20 20 20 20 20 20 20 20 20 20 61 63 63 6c 6f 2c 20 34 2c 20 34 2c s,1,0,0,1,..........acclo,.4,.4,
daf80 20 34 2c 30 78 30 32 31 30 2c 20 20 73 72 2c 31 36 20 2c 20 33 32 2c 30 2c 30 2c 30 29 20 5c 0a .4,0x0210,..sr,16.,.32,0,0,0).\.
dafa0 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 31 2c 30 2c 30 2c 31 2c 20 20 20 20 20 20 20 20 .XCHAL_SA_REG(s,1,0,0,1,........
dafc0 20 20 61 63 63 68 69 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 31 31 2c 20 20 73 72 2c 31 37 20 ..acchi,.4,.4,.4,0x0211,..sr,17.
dafe0 2c 20 20 38 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 30 2c ,..8,0,0,0).\..XCHAL_SA_REG(s,0,
db000 30 2c 30 2c 31 2c 20 20 20 20 20 20 73 63 6f 6d 70 61 72 65 31 2c 20 34 2c 20 34 2c 20 34 2c 30 0,0,1,......scompare1,.4,.4,.4,0
db020 78 30 32 30 43 2c 20 20 73 72 2c 31 32 20 2c 20 33 32 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 x020C,..sr,12.,.32,0,0,0).\..XCH
db040 41 4c 5f 53 41 5f 52 45 47 28 73 2c 30 2c 30 2c 30 2c 31 2c 20 20 20 20 20 20 20 20 20 20 20 20 AL_SA_REG(s,0,0,0,1,............
db060 20 6d 30 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 32 30 2c 20 20 73 72 2c 33 32 20 2c 20 33 32 .m0,.4,.4,.4,0x0220,..sr,32.,.32
db080 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 30 2c 30 2c 30 2c ,0,0,0).\..XCHAL_SA_REG(s,0,0,0,
db0a0 31 2c 20 20 20 20 20 20 20 20 20 20 20 20 20 6d 31 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 32 1,.............m1,.4,.4,.4,0x022
db0c0 31 2c 20 20 73 72 2c 33 33 20 2c 20 33 32 2c 30 2c 30 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 1,..sr,33.,.32,0,0,0).\..XCHAL_S
db0e0 41 5f 52 45 47 28 73 2c 30 2c 30 2c 30 2c 31 2c 20 20 20 20 20 20 20 20 20 20 20 20 20 6d 32 2c A_REG(s,0,0,0,1,.............m2,
db100 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 32 32 2c 20 20 73 72 2c 33 34 20 2c 20 33 32 2c 30 2c 30 .4,.4,.4,0x0222,..sr,34.,.32,0,0
db120 2c 30 29 20 5c 0a 20 58 43 48 41 4c 5f 53 41 5f 52 45 47 28 73 2c 30 2c 30 2c 30 2c 31 2c 20 20 ,0).\..XCHAL_SA_REG(s,0,0,0,1,..
db140 20 20 20 20 20 20 20 20 20 20 20 6d 33 2c 20 34 2c 20 34 2c 20 34 2c 30 78 30 32 32 33 2c 20 20 ...........m3,.4,.4,.4,0x0223,..
db160 73 72 2c 33 35 20 2c 20 33 32 2c 30 2c 30 2c 30 29 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c sr,35.,.32,0,0,0)..#define.XCHAL
db180 5f 43 50 30 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 30 5f _CP0_SA_NUM.0.#define.XCHAL_CP0_
db1a0 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 SA_LIST(s)./*.empty.*/..#define.
db1c0 58 43 48 41 4c 5f 43 50 31 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c XCHAL_CP1_SA_NUM.0.#define.XCHAL
db1e0 5f 43 50 31 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 _CP1_SA_LIST(s)./*.empty.*/..#de
db200 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 32 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 fine.XCHAL_CP2_SA_NUM.0.#define.
db220 58 43 48 41 4c 5f 43 50 32 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f XCHAL_CP2_SA_LIST(s)./*.empty.*/
db240 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 33 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 ..#define.XCHAL_CP3_SA_NUM.0.#de
db260 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 33 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 fine.XCHAL_CP3_SA_LIST(s)./*.emp
db280 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 34 5f 53 41 5f 4e 55 4d 09 ty.*/..#define.XCHAL_CP4_SA_NUM.
db2a0 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 34 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 0.#define.XCHAL_CP4_SA_LIST(s)./
db2c0 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 35 5f 53 41 *.empty.*/..#define.XCHAL_CP5_SA
db2e0 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 35 5f 53 41 5f 4c 49 53 54 _NUM.0.#define.XCHAL_CP5_SA_LIST
db300 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 (s)./*.empty.*/..#define.XCHAL_C
db320 50 36 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 36 5f 53 41 P6_SA_NUM.0.#define.XCHAL_CP6_SA
db340 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 _LIST(s)./*.empty.*/..#define.XC
db360 48 41 4c 5f 43 50 37 5f 53 41 5f 4e 55 4d 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 HAL_CP7_SA_NUM.0.#define.XCHAL_C
db380 50 37 5f 53 41 5f 4c 49 53 54 28 73 29 09 2f 2a 20 65 6d 70 74 79 20 2a 2f 0a 0a 2f 2a 20 42 79 P7_SA_LIST(s)./*.empty.*/../*.By
db3a0 74 65 20 6c 65 6e 67 74 68 20 6f 66 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 66 72 6f 6d 20 69 74 te.length.of.instruction.from.it
db3c0 73 20 66 69 72 73 74 20 6e 69 62 62 6c 65 20 28 6f 70 30 20 66 69 65 6c 64 29 2c 20 70 65 72 20 s.first.nibble.(op0.field),.per.
db3e0 46 4c 49 58 2e 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4f 50 30 5f 46 4f 52 4d FLIX...*/.#define.XCHAL_OP0_FORM
db400 41 54 5f 4c 45 4e 47 54 48 53 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 AT_LENGTHS.3,3,3,3,3,3,3,3,2,2,2
db420 2c 32 2c 32 2c 32 2c 33 2c 33 0a 2f 2a 20 42 79 74 65 20 6c 65 6e 67 74 68 20 6f 66 20 69 6e 73 ,2,2,2,3,3./*.Byte.length.of.ins
db440 74 72 75 63 74 69 6f 6e 20 66 72 6f 6d 20 69 74 73 20 66 69 72 73 74 20 62 79 74 65 2c 20 70 65 truction.from.its.first.byte,.pe
db460 72 20 46 4c 49 58 2e 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 42 59 54 45 30 5f r.FLIX...*/.#define.XCHAL_BYTE0_
db480 46 4f 52 4d 41 54 5f 4c 45 4e 47 54 48 53 09 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c FORMAT_LENGTHS.\..3,3,3,3,3,3,3,
db4a0 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 3,2,2,2,2,2,2,3,3,.3,3,3,3,3,3,3
db4c0 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c ,3,2,2,2,2,2,2,3,3,\..3,3,3,3,3,
db4e0 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 3,3,3,2,2,2,2,2,2,3,3,.3,3,3,3,3
db500 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c ,3,3,3,2,2,2,2,2,2,3,3,\..3,3,3,
db520 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 3,3,3,3,3,2,2,2,2,2,2,3,3,.3,3,3
db540 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c ,3,3,3,3,3,2,2,2,2,2,2,3,3,\..3,
db560 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 3,3,3,3,3,3,3,2,2,2,2,2,2,3,3,.3
db580 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c ,3,3,3,3,3,3,3,2,2,2,2,2,2,3,3,\
db5a0 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c ..3,3,3,3,3,3,3,3,2,2,2,2,2,2,3,
db5c0 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 3,.3,3,3,3,3,3,3,3,2,2,2,2,2,2,3
db5e0 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2c ,3,\..3,3,3,3,3,3,3,3,2,2,2,2,2,
db600 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c 32 2c 32 2,3,3,.3,3,3,3,3,3,3,3,2,2,2,2,2
db620 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2c ,2,3,3,\..3,3,3,3,3,3,3,3,2,2,2,
db640 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c 32 2c 32 2,2,2,3,3,.3,3,3,3,3,3,3,3,2,2,2
db660 2c 32 2c 32 2c 32 2c 33 2c 33 2c 5c 0a 09 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2c ,2,2,2,3,3,\..3,3,3,3,3,3,3,3,2,
db680 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 2c 20 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 33 2c 32 2,2,2,2,2,3,3,.3,3,3,3,3,3,3,3,2
db6a0 2c 32 2c 32 2c 32 2c 32 2c 32 2c 33 2c 33 0a 0a 23 65 6e 64 69 66 20 2f 2a 5f 58 54 45 4e 53 41 ,2,2,2,2,2,3,3..#endif./*_XTENSA
db6c0 5f 43 4f 52 45 5f 54 49 45 5f 48 2a 2f 0a 0a 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 _CORE_TIE_H*/...................
db6e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db700 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db720 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db7a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db7c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db7e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db800 75 2d 62 6f 6f 74 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 69 6e 63 6c 75 64 65 2f 61 73 6d 2f 61 u-boot/arch/xtensa/include/asm/a
db820 72 63 68 2d 64 63 32 33 33 63 5f 63 61 6c 6c 30 2f 63 6f 72 65 2e 68 00 00 00 00 00 00 00 00 00 rch-dc233c_call0/core.h.........
db840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db860 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
db880 30 30 36 34 32 33 35 00 31 33 30 31 33 34 32 31 34 36 37 00 30 32 33 31 32 34 00 20 30 00 00 00 0064235.13013421467.023124..0...
db8a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db8c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db8e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db900 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
db920 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
db940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db9a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db9c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
db9e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
dba00 2f 2a 20 0a 20 2a 20 78 74 65 6e 73 61 2f 63 6f 6e 66 69 67 2f 63 6f 72 65 2d 69 73 61 2e 68 20 /*...*.xtensa/config/core-isa.h.
dba20 2d 2d 20 48 41 4c 20 64 65 66 69 6e 69 74 69 6f 6e 73 20 74 68 61 74 20 61 72 65 20 64 65 70 65 --.HAL.definitions.that.are.depe
dba40 6e 64 65 6e 74 20 6f 6e 20 58 74 65 6e 73 61 0a 20 2a 09 09 09 09 70 72 6f 63 65 73 73 6f 72 20 ndent.on.Xtensa..*....processor.
dba60 43 4f 52 45 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 0a 20 2a 0a 20 2a 20 20 53 65 65 20 3c 78 CORE.configuration..*..*..See.<x
dba80 74 65 6e 73 61 2f 63 6f 6e 66 69 67 2f 63 6f 72 65 2e 68 3e 2c 20 77 68 69 63 68 20 69 6e 63 6c tensa/config/core.h>,.which.incl
dbaa0 75 64 65 73 20 74 68 69 73 20 66 69 6c 65 2c 20 66 6f 72 20 6d 6f 72 65 20 64 65 74 61 69 6c 73 udes.this.file,.for.more.details
dbac0 2e 0a 20 2a 2f 0a 0a 2f 2a 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 20 63 6f 72 65 20 ...*/../*.Xtensa.processor.core.
dbae0 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 20 69 6e 66 6f 72 6d 61 74 69 6f 6e 2e 0a 0a 20 20 20 43 configuration.information......C
dbb00 6f 70 79 72 69 67 68 74 20 28 63 29 20 31 39 39 39 2d 32 30 31 36 20 54 65 6e 73 69 6c 69 63 61 opyright.(c).1999-2016.Tensilica
dbb20 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 79 20 67 .Inc......Permission.is.hereby.g
dbb40 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e 79 20 70 ranted,.free.of.charge,.to.any.p
dbb60 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 74 68 69 erson.obtaining....a.copy.of.thi
dbb80 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 75 6d 65 s.software.and.associated.docume
dbba0 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 72 65 22 ntation.files.(the...."Software"
dbbc0 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 74 68 6f ),.to.deal.in.the.Software.witho
dbbe0 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 77 69 74 ut.restriction,.including....wit
dbc00 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e 20 74 68 65 20 72 69 67 68 74 73 20 74 6f 20 75 73 hout.limitation.the.rights.to.us
dbc20 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 73 68 2c e,.copy,.modify,.merge,.publish,
dbc40 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 6e 64 2f ....distribute,.sublicense,.and/
dbc60 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2c 20 or.sell.copies.of.the.Software,.
dbc80 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 68 6f 6d and.to....permit.persons.to.whom
dbca0 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f 20 64 6f .the.Software.is.furnished.to.do
dbcc0 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 69 6e 67 .so,.subject.to....the.following
dbce0 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f 70 79 72 .conditions:.....The.above.copyr
dbd00 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 6f 6e 20 ight.notice.and.this.permission.
dbd20 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 6e 20 61 notice.shall.be.included....in.a
dbd40 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 69 6f 6e ll.copies.or.substantial.portion
dbd60 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f 46 54 57 s.of.the.Software......THE.SOFTW
dbd80 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 4f 55 54 ARE.IS.PROVIDED."AS.IS",.WITHOUT
dbda0 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 52 45 53 .WARRANTY.OF.ANY.KIND,....EXPRES
dbdc0 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e 4f 54 20 S.OR.IMPLIED,.INCLUDING.BUT.NOT.
dbde0 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a 20 20 20 LIMITED.TO.THE.WARRANTIES.OF....
dbe00 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 41 20 50 MERCHANTABILITY,.FITNESS.FOR.A.P
dbe20 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 49 4e 47 ARTICULAR.PURPOSE.AND.NONINFRING
dbe40 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 48 45 20 EMENT.....IN.NO.EVENT.SHALL.THE.
dbe60 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 42 45 20 AUTHORS.OR.COPYRIGHT.HOLDERS.BE.
dbe80 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 47 45 53 LIABLE.FOR.ANY....CLAIM,.DAMAGES
dbea0 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 49 4e 20 .OR.OTHER.LIABILITY,.WHETHER.IN.
dbec0 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 54 20 4f AN.ACTION.OF.CONTRACT,....TORT.O
dbee0 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 54 20 4f R.OTHERWISE,.ARISING.FROM,.OUT.O
dbf00 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 20 20 53 F.OR.IN.CONNECTION.WITH.THE....S
dbf20 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 45 41 4c OFTWARE.OR.THE.USE.OR.OTHER.DEAL
dbf40 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 23 69 66 6e 64 INGS.IN.THE.SOFTWARE...*/..#ifnd
dbf60 65 66 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 43 4f 4e 46 49 47 55 52 41 54 49 4f 4e 5f 48 0a ef._XTENSA_CORE_CONFIGURATION_H.
dbf80 23 64 65 66 69 6e 65 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 43 4f 4e 46 49 47 55 52 41 54 49 #define._XTENSA_CORE_CONFIGURATI
dbfa0 4f 4e 5f 48 0a 0a 0a 2f 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ON_H.../************************
dbfc0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
dbfe0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 0a 09 20 20 20 20 50 61 72 61 6d 65 ********************......Parame
dc000 74 65 72 73 20 55 73 65 66 75 6c 20 66 6f 72 20 41 6e 79 20 43 6f 64 65 2c 20 55 53 45 52 20 6f ters.Useful.for.Any.Code,.USER.o
dc020 72 20 50 52 49 56 49 4c 45 47 45 44 0a 20 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a r.PRIVILEGED..******************
dc040 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
dc060 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2f 0a 0a 2f 2a 0a **************************/../*.
dc080 20 2a 20 20 4e 6f 74 65 3a 20 20 4d 61 63 72 6f 73 20 6f 66 20 74 68 65 20 66 6f 72 6d 20 58 43 .*..Note:..Macros.of.the.form.XC
dc0a0 48 41 4c 5f 48 41 56 45 5f 2a 2a 2a 20 68 61 76 65 20 61 20 76 61 6c 75 65 20 6f 66 20 31 20 69 HAL_HAVE_***.have.a.value.of.1.i
dc0c0 66 20 74 68 65 20 6f 70 74 69 6f 6e 20 69 73 0a 20 2a 20 20 63 6f 6e 66 69 67 75 72 65 64 2c 20 f.the.option.is..*..configured,.
dc0e0 61 6e 64 20 61 20 76 61 6c 75 65 20 6f 66 20 30 20 6f 74 68 65 72 77 69 73 65 2e 20 20 54 68 65 and.a.value.of.0.otherwise...The
dc100 73 65 20 6d 61 63 72 6f 73 20 61 72 65 20 61 6c 77 61 79 73 20 64 65 66 69 6e 65 64 2e 0a 20 2a se.macros.are.always.defined...*
dc120 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d /.../*--------------------------
dc140 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
dc160 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 49 53 41 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d ------------.....ISA...---------
dc180 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
dc1a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a -----------------------------*/.
dc1c0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 45 09 09 09 30 09 2f 2a 20 62 69 .#define.XCHAL_HAVE_BE...0./*.bi
dc1e0 67 2d 65 6e 64 69 61 6e 20 62 79 74 65 20 6f 72 64 65 72 69 6e 67 20 2a 2f 0a 23 64 65 66 69 6e g-endian.byte.ordering.*/.#defin
dc200 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 4e 44 4f 57 45 44 09 09 31 09 2f 2a 20 77 69 6e 64 e.XCHAL_HAVE_WINDOWED..1./*.wind
dc220 6f 77 65 64 20 72 65 67 69 73 74 65 72 73 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 owed.registers.option.*/.#define
dc240 20 58 43 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 09 09 09 33 32 09 2f 2a 20 6e 75 6d 20 6f 66 20 .XCHAL_NUM_AREGS...32./*.num.of.
dc260 70 68 79 73 69 63 61 6c 20 61 64 64 72 20 72 65 67 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 physical.addr.regs.*/.#define.XC
dc280 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 5f 4c 4f 47 32 09 09 35 09 2f 2a 20 6c 6f 67 32 28 58 43 HAL_NUM_AREGS_LOG2..5./*.log2(XC
dc2a0 48 41 4c 5f 4e 55 4d 5f 41 52 45 47 53 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f HAL_NUM_AREGS).*/.#define.XCHAL_
dc2c0 4d 41 58 5f 49 4e 53 54 52 55 43 54 49 4f 4e 5f 53 49 5a 45 09 33 09 2f 2a 20 6d 61 78 20 69 6e MAX_INSTRUCTION_SIZE.3./*.max.in
dc2e0 73 74 72 20 62 79 74 65 73 20 28 33 2e 2e 38 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 str.bytes.(3..8).*/.#define.XCHA
dc300 4c 5f 48 41 56 45 5f 44 45 42 55 47 09 09 31 09 2f 2a 20 64 65 62 75 67 20 6f 70 74 69 6f 6e 20 L_HAVE_DEBUG..1./*.debug.option.
dc320 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 4e 53 49 54 59 09 09 31 */.#define.XCHAL_HAVE_DENSITY..1
dc340 09 2f 2a 20 31 36 2d 62 69 74 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 ./*.16-bit.instructions.*/.#defi
dc360 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 4f 4f 50 53 09 09 31 09 2f 2a 20 7a 65 72 6f 2d 6f ne.XCHAL_HAVE_LOOPS..1./*.zero-o
dc380 76 65 72 68 65 61 64 20 6c 6f 6f 70 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4c verhead.loops.*/.#define.XCHAL_L
dc3a0 4f 4f 50 5f 42 55 46 46 45 52 5f 53 49 5a 45 09 09 30 09 2f 2a 20 7a 65 72 6f 2d 6f 76 2e 20 6c OOP_BUFFER_SIZE..0./*.zero-ov..l
dc3c0 6f 6f 70 20 69 6e 73 74 72 20 62 75 66 66 65 72 20 73 69 7a 65 20 2a 2f 0a 23 64 65 66 69 6e 65 oop.instr.buffer.size.*/.#define
dc3e0 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 53 41 09 09 09 31 09 2f 2a 20 4e 53 41 2f 4e 53 41 55 20 .XCHAL_HAVE_NSA...1./*.NSA/NSAU.
dc400 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 instructions.*/.#define.XCHAL_HA
dc420 56 45 5f 4d 49 4e 4d 41 58 09 09 31 09 2f 2a 20 4d 49 4e 2f 4d 41 58 20 69 6e 73 74 72 75 63 74 VE_MINMAX..1./*.MIN/MAX.instruct
dc440 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 45 58 54 09 ions.*/.#define.XCHAL_HAVE_SEXT.
dc460 09 09 31 09 2f 2a 20 53 45 58 54 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 ..1./*.SEXT.instruction.*/.#defi
dc480 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 50 42 49 54 53 09 09 30 09 2f 2a 20 44 45 50 42 ne.XCHAL_HAVE_DEPBITS..0./*.DEPB
dc4a0 49 54 53 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c ITS.instruction.*/.#define.XCHAL
dc4c0 5f 48 41 56 45 5f 43 4c 41 4d 50 53 09 09 31 09 2f 2a 20 43 4c 41 4d 50 53 20 69 6e 73 74 72 75 _HAVE_CLAMPS..1./*.CLAMPS.instru
dc4e0 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 31 ction.*/.#define.XCHAL_HAVE_MUL1
dc500 36 09 09 31 09 2f 2a 20 4d 55 4c 31 36 53 2f 4d 55 4c 31 36 55 20 69 6e 73 74 72 75 63 74 69 6f 6..1./*.MUL16S/MUL16U.instructio
dc520 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 09 09 ns.*/.#define.XCHAL_HAVE_MUL32..
dc540 31 09 2f 2a 20 4d 55 4c 4c 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 1./*.MULL.instruction.*/.#define
dc560 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 55 4c 33 32 5f 48 49 47 48 09 09 30 09 2f 2a 20 4d 55 4c .XCHAL_HAVE_MUL32_HIGH..0./*.MUL
dc580 55 48 2f 4d 55 4c 53 48 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 UH/MULSH.instructions.*/.#define
dc5a0 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 49 56 33 32 09 09 31 09 2f 2a 20 51 55 4f 53 2f 51 55 4f .XCHAL_HAVE_DIV32..1./*.QUOS/QUO
dc5c0 55 2f 52 45 4d 53 2f 52 45 4d 55 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 U/REMS/REMU.instructions.*/.#def
dc5e0 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4c 33 32 52 09 09 09 31 09 2f 2a 20 4c 33 32 52 20 ine.XCHAL_HAVE_L32R...1./*.L32R.
dc600 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 instruction.*/.#define.XCHAL_HAV
dc620 45 5f 41 42 53 4f 4c 55 54 45 5f 4c 49 54 45 52 41 4c 53 09 30 09 2f 2a 20 6e 6f 6e 2d 50 43 2d E_ABSOLUTE_LITERALS.0./*.non-PC-
dc640 72 65 6c 20 28 65 78 74 65 6e 64 65 64 29 20 4c 33 32 52 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 rel.(extended).L32R.*/.#define.X
dc660 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 53 54 31 36 09 09 30 09 2f 2a 20 43 4f 4e 53 54 31 36 20 CHAL_HAVE_CONST16..0./*.CONST16.
dc680 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 instruction.*/.#define.XCHAL_HAV
dc6a0 45 5f 41 44 44 58 09 09 09 31 09 2f 2a 20 41 44 44 58 23 2f 53 55 42 58 23 20 69 6e 73 74 72 75 E_ADDX...1./*.ADDX#/SUBX#.instru
dc6c0 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 57 49 44 ctions.*/.#define.XCHAL_HAVE_WID
dc6e0 45 5f 42 52 41 4e 43 48 45 53 09 30 09 2f 2a 20 42 2a 2e 57 31 38 20 6f 72 20 42 2a 2e 57 31 35 E_BRANCHES.0./*.B*.W18.or.B*.W15
dc700 20 69 6e 73 74 72 27 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 .instr's.*/.#define.XCHAL_HAVE_P
dc720 52 45 44 49 43 54 45 44 5f 42 52 41 4e 43 48 45 53 09 30 09 2f 2a 20 42 5b 45 51 2f 45 51 5a 2f REDICTED_BRANCHES.0./*.B[EQ/EQZ/
dc740 4e 45 2f 4e 45 5a 5d 54 20 69 6e 73 74 72 27 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 NE/NEZ]T.instr's.*/.#define.XCHA
dc760 4c 5f 48 41 56 45 5f 43 41 4c 4c 34 41 4e 44 31 32 09 09 31 09 2f 2a 20 28 6f 62 73 6f 6c 65 74 L_HAVE_CALL4AND12..1./*.(obsolet
dc780 65 20 6f 70 74 69 6f 6e 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f e.option).*/.#define.XCHAL_HAVE_
dc7a0 41 42 53 09 09 09 31 09 2f 2a 20 41 42 53 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 2f 2a ABS...1./*.ABS.instruction.*/./*
dc7c0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 4f 50 43 09 09 30 2a 2f 09 2f 2a 20 #define.XCHAL_HAVE_POPC..0*/./*.
dc7e0 50 4f 50 43 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a 2f 2a 23 64 65 66 69 6e 65 20 58 43 POPC.instruction.*/./*#define.XC
dc800 48 41 4c 5f 48 41 56 45 5f 43 52 43 09 09 30 2a 2f 09 2f 2a 20 43 52 43 20 69 6e 73 74 72 75 63 HAL_HAVE_CRC..0*/./*.CRC.instruc
dc820 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 52 45 4c 45 41 tion.*/.#define.XCHAL_HAVE_RELEA
dc840 53 45 5f 53 59 4e 43 09 09 31 09 2f 2a 20 4c 33 32 41 49 2f 53 33 32 52 49 20 69 6e 73 74 72 75 SE_SYNC..1./*.L32AI/S32RI.instru
dc860 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 33 32 ctions.*/.#define.XCHAL_HAVE_S32
dc880 43 31 49 09 09 31 09 2f 2a 20 53 33 32 43 31 49 20 69 6e 73 74 72 75 63 74 69 6f 6e 20 2a 2f 0a C1I..1./*.S32C1I.instruction.*/.
dc8a0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 50 45 43 55 4c 41 54 49 4f 4e 09 09 #define.XCHAL_HAVE_SPECULATION..
dc8c0 30 09 2f 2a 20 73 70 65 63 75 6c 61 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 0./*.speculation.*/.#define.XCHA
dc8e0 4c 5f 48 41 56 45 5f 46 55 4c 4c 5f 52 45 53 45 54 09 09 31 09 2f 2a 20 61 6c 6c 20 72 65 67 73 L_HAVE_FULL_RESET..1./*.all.regs
dc900 2f 73 74 61 74 65 20 72 65 73 65 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 /state.reset.*/.#define.XCHAL_NU
dc920 4d 5f 43 4f 4e 54 45 58 54 53 09 09 31 09 2f 2a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 M_CONTEXTS..1./*.*/.#define.XCHA
dc940 4c 5f 4e 55 4d 5f 4d 49 53 43 5f 52 45 47 53 09 09 32 09 2f 2a 20 6e 75 6d 20 6f 66 20 73 63 72 L_NUM_MISC_REGS..2./*.num.of.scr
dc960 61 74 63 68 20 72 65 67 73 20 28 30 2e 2e 34 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 atch.regs.(0..4).*/.#define.XCHA
dc980 4c 5f 48 41 56 45 5f 54 41 50 5f 4d 41 53 54 45 52 09 09 30 09 2f 2a 20 4a 54 41 47 20 54 41 50 L_HAVE_TAP_MASTER..0./*.JTAG.TAP
dc9a0 20 63 6f 6e 74 72 6f 6c 20 69 6e 73 74 72 27 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 .control.instr's.*/.#define.XCHA
dc9c0 4c 5f 48 41 56 45 5f 50 52 49 44 09 09 09 31 09 2f 2a 20 70 72 6f 63 65 73 73 6f 72 20 49 44 20 L_HAVE_PRID...1./*.processor.ID.
dc9e0 72 65 67 69 73 74 65 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 45 register.*/.#define.XCHAL_HAVE_E
dca00 58 54 45 52 4e 5f 52 45 47 53 09 09 31 09 2f 2a 20 57 45 52 2f 52 45 52 20 69 6e 73 74 72 75 63 XTERN_REGS..1./*.WER/RER.instruc
dca20 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 58 09 09 tions.*/.#define.XCHAL_HAVE_MX..
dca40 09 30 09 2f 2a 20 4d 58 20 63 6f 72 65 20 28 54 65 6e 73 69 6c 69 63 61 20 69 6e 74 65 72 6e 61 .0./*.MX.core.(Tensilica.interna
dca60 6c 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 50 5f 49 4e 54 45 l).*/.#define.XCHAL_HAVE_MP_INTE
dca80 52 52 55 50 54 53 09 30 09 2f 2a 20 69 6e 74 65 72 72 75 70 74 20 64 69 73 74 72 69 62 75 74 6f RRUPTS.0./*.interrupt.distributo
dcaa0 72 20 70 6f 72 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 50 5f r.port.*/.#define.XCHAL_HAVE_MP_
dcac0 52 55 4e 53 54 41 4c 4c 09 09 30 09 2f 2a 20 63 6f 72 65 20 52 75 6e 53 74 61 6c 6c 20 63 6f 6e RUNSTALL..0./*.core.RunStall.con
dcae0 74 72 6f 6c 20 70 6f 72 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f trol.port.*/.#define.XCHAL_HAVE_
dcb00 50 53 4f 09 09 09 30 09 2f 2a 20 50 6f 77 65 72 20 53 68 75 74 2d 4f 66 66 20 2a 2f 0a 23 64 65 PSO...0./*.Power.Shut-Off.*/.#de
dcb20 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 53 4f 5f 43 44 4d 09 09 30 09 2f 2a 20 63 6f fine.XCHAL_HAVE_PSO_CDM..0./*.co
dcb40 72 65 2f 64 65 62 75 67 2f 6d 65 6d 20 70 77 72 20 64 6f 6d 61 69 6e 73 20 2a 2f 0a 23 64 65 66 re/debug/mem.pwr.domains.*/.#def
dcb60 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 53 4f 5f 46 55 4c 4c 5f 52 45 54 45 4e 54 49 4f ine.XCHAL_HAVE_PSO_FULL_RETENTIO
dcb80 4e 09 30 09 2f 2a 20 61 6c 6c 20 72 65 67 73 20 70 72 65 73 65 72 76 65 64 20 6f 6e 20 50 53 4f N.0./*.all.regs.preserved.on.PSO
dcba0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 48 52 45 41 44 50 54 52 .*/.#define.XCHAL_HAVE_THREADPTR
dcbc0 09 09 31 09 2f 2a 20 54 48 52 45 41 44 50 54 52 20 72 65 67 69 73 74 65 72 20 2a 2f 0a 23 64 65 ..1./*.THREADPTR.register.*/.#de
dcbe0 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 4f 4f 4c 45 41 4e 53 09 09 30 09 2f 2a 20 62 fine.XCHAL_HAVE_BOOLEANS..0./*.b
dcc00 6f 6f 6c 65 61 6e 20 72 65 67 69 73 74 65 72 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 oolean.registers.*/.#define.XCHA
dcc20 4c 5f 48 41 56 45 5f 43 50 09 09 09 31 09 2f 2a 20 43 50 45 4e 41 42 4c 45 20 72 65 67 20 28 63 L_HAVE_CP...1./*.CPENABLE.reg.(c
dcc40 6f 70 72 6f 63 65 73 73 6f 72 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 50 5f oprocessor).*/.#define.XCHAL_CP_
dcc60 4d 41 58 43 46 47 09 09 09 38 09 2f 2a 20 6d 61 78 20 61 6c 6c 6f 77 65 64 20 63 70 20 69 64 20 MAXCFG...8./*.max.allowed.cp.id.
dcc80 70 6c 75 73 20 6f 6e 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d plus.one.*/.#define.XCHAL_HAVE_M
dcca0 41 43 31 36 09 09 31 09 2f 2a 20 4d 41 43 31 36 20 70 61 63 6b 61 67 65 20 2a 2f 0a 0a 23 64 65 AC16..1./*.MAC16.package.*/..#de
dccc0 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 09 09 20 30 09 2f 2a 20 46 75 fine.XCHAL_HAVE_FUSION...0./*.Fu
dcce0 73 69 6f 6e 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e sion*/.#define.XCHAL_HAVE_FUSION
dcd00 5f 46 50 09 20 30 09 20 20 20 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 46 50 20 6f 70 74 69 _FP..0........./*.Fusion.FP.opti
dcd20 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f on.*/.#define.XCHAL_HAVE_FUSION_
dcd40 4c 4f 57 5f 50 4f 57 45 52 20 30 09 2f 2a 20 46 75 73 69 6f 6e 20 4c 6f 77 20 50 6f 77 65 72 20 LOW_POWER.0./*.Fusion.Low.Power.
dcd60 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 option.*/.#define.XCHAL_HAVE_FUS
dcd80 49 4f 4e 5f 41 45 53 09 20 30 09 20 20 20 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 42 4c 45 ION_AES..0........./*.Fusion.BLE
dcda0 2f 57 69 66 69 20 41 45 53 2d 31 32 38 20 43 43 4d 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 /Wifi.AES-128.CCM.option.*/.#def
dcdc0 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f 43 4f 4e 56 45 4e 43 09 20 30 ine.XCHAL_HAVE_FUSION_CONVENC..0
dcde0 20 20 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 43 6f 6e 76 20 45 6e 63 6f 64 65 20 6f 70 74 ......./*.Fusion.Conv.Encode.opt
dce00 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e ion.*/.#define.XCHAL_HAVE_FUSION
dce20 5f 4c 46 53 52 5f 43 52 43 09 20 30 09 2f 2a 20 46 75 73 69 6f 6e 20 4c 46 53 52 2d 43 52 43 20 _LFSR_CRC..0./*.Fusion.LFSR-CRC.
dce40 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 option.*/.#define.XCHAL_HAVE_FUS
dce60 49 4f 4e 5f 42 49 54 4f 50 53 09 20 30 09 2f 2a 20 46 75 73 69 6f 6e 20 42 69 74 20 4f 70 65 72 ION_BITOPS..0./*.Fusion.Bit.Oper
dce80 61 74 69 6f 6e 73 20 53 75 70 70 6f 72 74 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 ations.Support.option.*/.#define
dcea0 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f 41 56 53 09 20 30 09 2f 2a 20 46 75 73 .XCHAL_HAVE_FUSION_AVS..0./*.Fus
dcec0 69 6f 6e 20 41 56 53 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f ion.AVS.option.*/.#define.XCHAL_
dcee0 48 41 56 45 5f 46 55 53 49 4f 4e 5f 31 36 42 49 54 5f 42 41 53 45 42 41 4e 44 09 20 30 09 2f 2a HAVE_FUSION_16BIT_BASEBAND..0./*
dcf00 20 46 75 73 69 6f 6e 20 31 36 2d 62 69 74 20 42 61 73 65 62 61 6e 64 20 6f 70 74 69 6f 6e 20 2a .Fusion.16-bit.Baseband.option.*
dcf20 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 55 53 49 4f 4e 5f 56 49 54 45 /.#define.XCHAL_HAVE_FUSION_VITE
dcf40 52 42 49 20 20 20 20 20 20 20 20 30 20 20 20 20 20 2f 2a 20 46 75 73 69 6f 6e 20 56 69 74 65 72 RBI........0...../*.Fusion.Viter
dcf60 62 69 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f bi.option.*/.#define.XCHAL_HAVE_
dcf80 46 55 53 49 4f 4e 5f 53 4f 46 54 44 45 4d 41 50 20 20 20 20 20 20 30 20 20 20 2f 2a 20 46 75 73 FUSION_SOFTDEMAP......0.../*.Fus
dcfa0 69 6f 6e 20 53 6f 66 74 20 42 69 74 20 44 65 6d 61 70 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 ion.Soft.Bit.Demap.option.*/.#de
dcfc0 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 50 52 4f 09 09 30 09 2f 2a 20 48 69 fine.XCHAL_HAVE_HIFIPRO..0./*.Hi
dcfe0 46 69 50 72 6f 20 41 75 64 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e FiPro.Audio.Engine.pkg.*/.#defin
dd000 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 34 09 09 30 09 2f 2a 20 48 69 46 69 34 20 41 e.XCHAL_HAVE_HIFI4..0./*.HiFi4.A
dd020 75 64 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c udio.Engine.pkg.*/.#define.XCHAL
dd040 5f 48 41 56 45 5f 48 49 46 49 34 5f 56 46 50 55 09 09 30 09 2f 2a 20 48 69 46 69 34 20 41 75 64 _HAVE_HIFI4_VFPU..0./*.HiFi4.Aud
dd060 69 6f 20 45 6e 67 69 6e 65 20 56 46 50 55 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 io.Engine.VFPU.option.*/.#define
dd080 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 33 09 09 30 09 2f 2a 20 48 69 46 69 33 20 41 75 .XCHAL_HAVE_HIFI3..0./*.HiFi3.Au
dd0a0 64 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f dio.Engine.pkg.*/.#define.XCHAL_
dd0c0 48 41 56 45 5f 48 49 46 49 33 5f 56 46 50 55 09 09 30 09 2f 2a 20 48 69 46 69 33 20 41 75 64 69 HAVE_HIFI3_VFPU..0./*.HiFi3.Audi
dd0e0 6f 20 45 6e 67 69 6e 65 20 56 46 50 55 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 o.Engine.VFPU.option.*/.#define.
dd100 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 32 09 09 30 09 2f 2a 20 48 69 46 69 32 20 41 75 64 XCHAL_HAVE_HIFI2..0./*.HiFi2.Aud
dd120 69 6f 20 45 6e 67 69 6e 65 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 io.Engine.pkg.*/.#define.XCHAL_H
dd140 41 56 45 5f 48 49 46 49 32 45 50 09 09 30 09 2f 2a 20 48 69 46 69 32 45 50 20 2a 2f 0a 23 64 65 AVE_HIFI2EP..0./*.HiFi2EP.*/.#de
dd160 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 48 49 46 49 5f 4d 49 4e 49 09 09 30 09 0a 0a 0a fine.XCHAL_HAVE_HIFI_MINI..0....
dd180 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 4f 52 46 50 55 32 30 30 35 #define.XCHAL_HAVE_VECTORFPU2005
dd1a0 09 30 09 2f 2a 20 76 65 63 74 6f 72 20 6f 72 20 75 73 65 72 20 66 6c 6f 61 74 69 6e 67 2d 70 6f .0./*.vector.or.user.floating-po
dd1c0 69 6e 74 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 55 53 int.pkg.*/.#define.XCHAL_HAVE_US
dd1e0 45 52 5f 44 50 46 50 55 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 20 20 2f 2a 20 75 73 65 72 ER_DPFPU.........0......./*.user
dd200 20 44 50 20 66 6c 6f 61 74 69 6e 67 2d 70 6f 69 6e 74 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e .DP.floating-point.pkg.*/.#defin
dd220 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 55 53 45 52 5f 53 50 46 50 55 20 20 20 20 20 20 20 20 20 e.XCHAL_HAVE_USER_SPFPU.........
dd240 30 20 20 20 20 20 20 20 2f 2a 20 75 73 65 72 20 44 50 20 66 6c 6f 61 74 69 6e 67 2d 70 6f 69 6e 0......./*.user.DP.floating-poin
dd260 74 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 20 20 t.pkg.*/.#define.XCHAL_HAVE_FP..
dd280 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 20 2f 2a 20 73 69 6e 67 6c 65 20 ...............0....../*.single.
dd2a0 70 72 65 63 20 66 6c 6f 61 74 69 6e 67 20 70 6f 69 6e 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 prec.floating.point.*/.#define.X
dd2c0 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 44 49 56 20 20 20 20 20 20 20 20 20 20 20 20 20 30 20 20 CHAL_HAVE_FP_DIV.............0..
dd2e0 2f 2a 20 46 50 20 77 69 74 68 20 44 49 56 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 /*.FP.with.DIV.instructions.*/.#
dd300 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 45 43 49 50 20 20 20 20 20 20 define.XCHAL_HAVE_FP_RECIP......
dd320 20 20 20 20 20 30 20 20 20 20 20 20 20 20 2f 2a 20 46 50 20 77 69 74 68 20 52 45 43 49 50 20 69 .....0......../*.FP.with.RECIP.i
dd340 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 nstructions.*/.#define.XCHAL_HAV
dd360 45 5f 46 50 5f 53 51 52 54 20 20 20 20 20 20 20 20 20 20 20 20 30 20 2f 2a 20 46 50 20 77 69 74 E_FP_SQRT............0./*.FP.wit
dd380 68 20 53 51 52 54 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 h.SQRT.instructions.*/.#define.X
dd3a0 43 48 41 4c 5f 48 41 56 45 5f 46 50 5f 52 53 51 52 54 20 20 20 20 20 20 20 20 20 20 20 30 20 20 CHAL_HAVE_FP_RSQRT...........0..
dd3c0 20 20 20 20 20 20 2f 2a 20 46 50 20 77 69 74 68 20 52 53 51 52 54 20 69 6e 73 74 72 75 63 74 69 ....../*.FP.with.RSQRT.instructi
dd3e0 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 20 20 20 ons.*/.#define.XCHAL_HAVE_DFP...
dd400 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 2f 2a 20 64 6f .....................0...../*.do
dd420 75 62 6c 65 20 70 72 65 63 69 73 69 6f 6e 20 46 50 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 uble.precision.FP.pkg.*/.#define
dd440 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 44 49 56 20 20 20 20 20 20 20 20 20 20 20 20 30 .XCHAL_HAVE_DFP_DIV............0
dd460 20 2f 2a 20 44 46 50 20 77 69 74 68 20 44 49 56 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f ./*.DFP.with.DIV.instructions.*/
dd480 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 52 45 43 49 50 20 20 20 .#define.XCHAL_HAVE_DFP_RECIP...
dd4a0 20 20 20 20 20 20 20 30 20 20 20 20 20 20 20 2f 2a 20 44 46 50 20 77 69 74 68 20 52 45 43 49 50 .......0......./*.DFP.with.RECIP
dd4c0 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 .instructions*/.#define.XCHAL_HA
dd4e0 56 45 5f 44 46 50 5f 53 51 52 54 20 20 20 20 20 20 20 20 20 20 20 30 20 20 20 20 20 20 20 20 2f VE_DFP_SQRT...........0......../
dd500 2a 20 44 46 50 20 77 69 74 68 20 53 51 52 54 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a *.DFP.with.SQRT.instructions.*/.
dd520 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 52 53 51 52 54 20 20 20 20 #define.XCHAL_HAVE_DFP_RSQRT....
dd540 20 20 20 20 20 20 30 20 20 20 20 20 20 20 2f 2a 20 44 46 50 20 77 69 74 68 20 52 53 51 52 54 20 ......0......./*.DFP.with.RSQRT.
dd560 69 6e 73 74 72 75 63 74 69 6f 6e 73 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 instructions*/.#define.XCHAL_HAV
dd580 45 5f 44 46 50 5f 41 43 43 45 4c 09 09 30 09 2f 2a 20 64 6f 75 62 6c 65 20 70 72 65 63 69 73 69 E_DFP_ACCEL..0./*.double.precisi
dd5a0 6f 6e 20 46 50 20 61 63 63 65 6c 65 72 61 74 69 6f 6e 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e on.FP.acceleration.pkg.*/.#defin
dd5c0 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 5f 61 63 63 65 6c 09 09 58 43 48 41 4c 5f 48 41 e.XCHAL_HAVE_DFP_accel..XCHAL_HA
dd5e0 56 45 5f 44 46 50 5f 41 43 43 45 4c 09 09 09 09 2f 2a 20 66 6f 72 20 62 61 63 6b 77 61 72 64 20 VE_DFP_ACCEL..../*.for.backward.
dd600 63 6f 6d 70 61 74 69 62 69 6c 69 74 79 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f compatibility.*/..#define.XCHAL_
dd620 48 41 56 45 5f 44 46 50 55 5f 53 49 4e 47 4c 45 5f 4f 4e 4c 59 20 20 20 20 30 20 20 20 20 20 20 HAVE_DFPU_SINGLE_ONLY....0......
dd640 20 20 20 20 20 20 20 20 20 20 20 09 2f 2a 20 44 46 50 55 20 43 6f 70 72 6f 63 65 73 73 6f 72 2c ............/*.DFPU.Coprocessor,
dd660 20 73 69 6e 67 6c 65 20 70 72 65 63 69 73 69 6f 6e 20 6f 6e 6c 79 20 2a 2f 0a 23 64 65 66 69 6e .single.precision.only.*/.#defin
dd680 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 46 50 55 5f 53 49 4e 47 4c 45 5f 44 4f 55 42 4c 45 20 e.XCHAL_HAVE_DFPU_SINGLE_DOUBLE.
dd6a0 20 30 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 09 2f 2a 20 44 46 50 55 20 43 6f 70 72 6f 63 .0................/*.DFPU.Coproc
dd6c0 65 73 73 6f 72 2c 20 73 69 6e 67 6c 65 20 61 6e 64 20 64 6f 75 62 6c 65 20 70 72 65 63 69 73 69 essor,.single.and.double.precisi
dd6e0 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 52 41 31 on.*/.#define.XCHAL_HAVE_VECTRA1
dd700 09 09 30 09 2f 2a 20 56 65 63 74 72 61 20 49 20 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 ..0./*.Vectra.I..pkg.*/.#define.
dd720 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 52 41 4c 58 09 09 30 09 2f 2a 20 56 65 63 74 72 61 XCHAL_HAVE_VECTRALX..0./*.Vectra
dd740 20 4c 58 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 44 .LX.pkg.*/.#define.XCHAL_HAVE_PD
dd760 58 34 09 09 20 20 20 20 20 20 20 20 30 09 2f 2a 20 50 44 58 34 20 2a 2f 0a 23 64 65 66 69 6e 65 X4..........0./*.PDX4.*/.#define
dd780 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e 4e 58 44 32 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 .XCHAL_HAVE_CONNXD2..0./*.ConnX.
dd7a0 44 32 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 4f 4e D2.pkg.*/.#define.XCHAL_HAVE_CON
dd7c0 4e 58 44 32 5f 44 55 41 4c 4c 53 46 4c 49 58 20 20 20 30 09 2f 2a 20 43 6f 6e 6e 58 20 44 32 20 NXD2_DUALLSFLIX...0./*.ConnX.D2.
dd7e0 26 20 44 75 61 6c 20 4c 6f 61 64 53 74 6f 72 65 20 46 6c 69 78 20 2a 2f 0a 23 64 65 66 69 6e 65 &.Dual.LoadStore.Flix.*/.#define
dd800 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 42 45 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 42 42 .XCHAL_HAVE_BBE16..0./*.ConnX.BB
dd820 45 31 36 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 42 E16.pkg.*/.#define.XCHAL_HAVE_BB
dd840 45 31 36 5f 52 53 51 52 54 09 09 30 09 2f 2a 20 42 42 45 31 36 20 26 20 76 65 63 74 6f 72 20 72 E16_RSQRT..0./*.BBE16.&.vector.r
dd860 65 63 69 70 20 73 71 72 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f ecip.sqrt.*/.#define.XCHAL_HAVE_
dd880 42 42 45 31 36 5f 56 45 43 44 49 56 09 09 30 09 2f 2a 20 42 42 45 31 36 20 26 20 76 65 63 74 6f BBE16_VECDIV..0./*.BBE16.&.vecto
dd8a0 72 20 64 69 76 69 64 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 r.divide.*/.#define.XCHAL_HAVE_B
dd8c0 42 45 31 36 5f 44 45 53 50 52 45 41 44 09 30 09 2f 2a 20 42 42 45 31 36 20 26 20 64 65 73 70 72 BE16_DESPREAD.0./*.BBE16.&.despr
dd8e0 65 61 64 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 42 45 4e 45 50 ead.*/.#define.XCHAL_HAVE_BBENEP
dd900 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 42 42 45 4e 45 50 20 70 6b 67 73 20 2a 2f 0a 23 64 65 66 ..0./*.ConnX.BBENEP.pkgs.*/.#def
dd920 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 53 50 33 09 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 ine.XCHAL_HAVE_BSP3...0./*.ConnX
dd940 20 42 53 50 33 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f .BSP3.pkg.*/.#define.XCHAL_HAVE_
dd960 42 53 50 33 5f 54 52 41 4e 53 50 4f 53 45 09 30 09 2f 2a 20 42 53 50 33 20 26 20 74 72 61 6e 73 BSP3_TRANSPOSE.0./*.BSP3.&.trans
dd980 70 6f 73 65 33 32 78 33 32 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f pose32x32.*/.#define.XCHAL_HAVE_
dd9a0 53 53 50 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 53 53 50 31 36 20 70 6b 67 20 2a 2f 0a 23 SSP16..0./*.ConnX.SSP16.pkg.*/.#
dd9c0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 53 50 31 36 5f 56 49 54 45 52 42 49 09 define.XCHAL_HAVE_SSP16_VITERBI.
dd9e0 30 09 2f 2a 20 53 53 50 31 36 20 26 20 76 69 74 65 72 62 69 20 2a 2f 0a 23 64 65 66 69 6e 65 20 0./*.SSP16.&.viterbi.*/.#define.
dda00 58 43 48 41 4c 5f 48 41 56 45 5f 54 55 52 42 4f 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 54 XCHAL_HAVE_TURBO16..0./*.ConnX.T
dda20 75 72 62 6f 31 36 20 70 6b 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 urbo16.pkg.*/.#define.XCHAL_HAVE
dda40 5f 42 42 50 31 36 09 09 30 09 2f 2a 20 43 6f 6e 6e 58 20 42 42 50 31 36 20 70 6b 67 20 2a 2f 0a _BBP16..0./*.ConnX.BBP16.pkg.*/.
dda60 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 46 4c 49 58 33 09 09 30 09 2f 2a 20 62 #define.XCHAL_HAVE_FLIX3..0./*.b
dda80 61 73 69 63 20 33 2d 77 61 79 20 46 4c 49 58 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e asic.3-way.FLIX.option.*/.#defin
ddaa0 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 47 52 49 56 50 45 50 20 20 20 20 20 20 20 20 20 20 20 20 e.XCHAL_HAVE_GRIVPEP............
ddac0 20 20 30 20 20 20 2f 2a 20 20 47 52 49 56 50 45 50 20 69 73 20 47 65 6e 65 72 61 6c 20 52 65 6c ..0.../*..GRIVPEP.is.General.Rel
ddae0 65 61 73 65 20 6f 66 20 49 56 50 45 50 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 ease.of.IVPEP.*/.#define.XCHAL_H
ddb00 41 56 45 5f 47 52 49 56 50 45 50 5f 48 49 53 54 4f 47 52 41 4d 20 20 20 20 30 20 20 20 2f 2a 20 AVE_GRIVPEP_HISTOGRAM....0.../*.
ddb20 48 69 73 74 6f 67 72 61 6d 20 6f 70 74 69 6f 6e 20 6f 6e 20 47 52 49 56 50 45 50 20 2a 2f 0a 0a Histogram.option.on.GRIVPEP.*/..
ddb40 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ./*-----------------------------
ddb60 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
ddb80 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 4d 49 53 43 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ---------.....MISC...-----------
ddba0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
ddbc0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 ---------------------------*/..#
ddbe0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 4c 4f 41 44 53 54 4f 52 45 5f 55 4e 49 54 53 define.XCHAL_NUM_LOADSTORE_UNITS
ddc00 09 31 09 2f 2a 20 6c 6f 61 64 2f 73 74 6f 72 65 20 75 6e 69 74 73 20 2a 2f 0a 23 64 65 66 69 6e .1./*.load/store.units.*/.#defin
ddc20 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 57 52 49 54 45 42 55 46 46 45 52 5f 45 4e 54 52 49 45 53 09 e.XCHAL_NUM_WRITEBUFFER_ENTRIES.
ddc40 38 09 2f 2a 20 73 69 7a 65 20 6f 66 20 77 72 69 74 65 20 62 75 66 66 65 72 20 2a 2f 0a 23 64 65 8./*.size.of.write.buffer.*/.#de
ddc60 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 53 54 5f 46 45 54 43 48 5f 57 49 44 54 48 09 09 34 09 2f fine.XCHAL_INST_FETCH_WIDTH..4./
ddc80 2a 20 69 6e 73 74 72 2d 66 65 74 63 68 20 77 69 64 74 68 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a *.instr-fetch.width.in.bytes.*/.
ddca0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 41 54 41 5f 57 49 44 54 48 09 09 34 09 2f 2a 20 64 #define.XCHAL_DATA_WIDTH..4./*.d
ddcc0 61 74 61 20 77 69 64 74 68 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 ata.width.in.bytes.*/.#define.XC
ddce0 48 41 4c 5f 44 41 54 41 5f 50 49 50 45 5f 44 45 4c 41 59 09 09 31 09 2f 2a 20 64 2d 73 69 64 65 HAL_DATA_PIPE_DELAY..1./*.d-side
ddd00 20 70 69 70 65 6c 69 6e 65 20 64 65 6c 61 79 0a 09 09 09 09 09 09 20 20 20 28 31 20 3d 20 35 2d .pipeline.delay..........(1.=.5-
ddd20 73 74 61 67 65 2c 20 32 20 3d 20 37 2d 73 74 61 67 65 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 stage,.2.=.7-stage).*/.#define.X
ddd40 43 48 41 4c 5f 43 4c 4f 43 4b 5f 47 41 54 49 4e 47 5f 47 4c 4f 42 41 4c 09 31 09 2f 2a 20 67 6c CHAL_CLOCK_GATING_GLOBAL.1./*.gl
ddd60 6f 62 61 6c 20 63 6c 6f 63 6b 20 67 61 74 69 6e 67 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 obal.clock.gating.*/.#define.XCH
ddd80 41 4c 5f 43 4c 4f 43 4b 5f 47 41 54 49 4e 47 5f 46 55 4e 43 55 4e 49 54 09 31 09 2f 2a 20 66 75 AL_CLOCK_GATING_FUNCUNIT.1./*.fu
ddda0 6e 63 74 2e 20 75 6e 69 74 20 63 6c 6f 63 6b 20 67 61 74 69 6e 67 20 2a 2f 0a 2f 2a 20 20 49 6e nct..unit.clock.gating.*/./*..In
dddc0 20 54 31 30 35 30 2c 20 61 70 70 6c 69 65 73 20 74 6f 20 73 65 6c 65 63 74 65 64 20 63 6f 72 65 .T1050,.applies.to.selected.core
ddde0 20 6c 6f 61 64 20 61 6e 64 20 73 74 6f 72 65 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 28 73 65 .load.and.store.instructions.(se
dde00 65 20 49 53 41 29 3a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 4e 41 4c 49 47 4e e.ISA):.*/.#define.XCHAL_UNALIGN
dde20 45 44 5f 4c 4f 41 44 5f 45 58 43 45 50 54 49 4f 4e 09 31 09 2f 2a 20 75 6e 61 6c 69 67 6e 65 64 ED_LOAD_EXCEPTION.1./*.unaligned
dde40 20 6c 6f 61 64 73 20 63 61 75 73 65 20 65 78 63 2e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 .loads.cause.exc..*/.#define.XCH
dde60 41 4c 5f 55 4e 41 4c 49 47 4e 45 44 5f 53 54 4f 52 45 5f 45 58 43 45 50 54 49 4f 4e 09 31 09 2f AL_UNALIGNED_STORE_EXCEPTION.1./
dde80 2a 20 75 6e 61 6c 69 67 6e 65 64 20 73 74 6f 72 65 73 20 63 61 75 73 65 20 65 78 63 2e 2a 2f 0a *.unaligned.stores.cause.exc.*/.
ddea0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 4e 41 4c 49 47 4e 45 44 5f 4c 4f 41 44 5f 48 57 09 #define.XCHAL_UNALIGNED_LOAD_HW.
ddec0 09 30 09 2f 2a 20 75 6e 61 6c 69 67 6e 65 64 20 6c 6f 61 64 73 20 77 6f 72 6b 20 69 6e 20 68 77 .0./*.unaligned.loads.work.in.hw
ddee0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 4e 41 4c 49 47 4e 45 44 5f 53 54 4f 52 .*/.#define.XCHAL_UNALIGNED_STOR
ddf00 45 5f 48 57 09 30 09 2f 2a 20 75 6e 61 6c 69 67 6e 65 64 20 73 74 6f 72 65 73 20 77 6f 72 6b 20 E_HW.0./*.unaligned.stores.work.
ddf20 69 6e 20 68 77 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 53 57 5f 56 45 52 53 49 4f in.hw*/..#define.XCHAL_SW_VERSIO
ddf40 4e 09 09 31 31 30 30 30 30 34 09 2f 2a 20 73 77 20 76 65 72 73 69 6f 6e 20 6f 66 20 74 68 69 73 N..1100004./*.sw.version.of.this
ddf60 20 68 65 61 64 65 72 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 4f 52 45 5f 49 .header.*/..#define.XCHAL_CORE_I
ddf80 44 09 09 09 22 44 43 5f 32 33 33 4c 5f 63 61 6c 6c 30 22 09 2f 2a 20 61 6c 70 68 61 6e 75 6d 20 D..."DC_233L_call0"./*.alphanum.
ddfa0 63 6f 72 65 20 6e 61 6d 65 0a 09 09 09 09 09 09 20 20 20 28 43 6f 72 65 49 44 29 20 73 65 74 20 core.name..........(CoreID).set.
ddfc0 69 6e 20 74 68 65 20 58 74 65 6e 73 61 0a 09 09 09 09 09 09 20 20 20 50 72 6f 63 65 73 73 6f 72 in.the.Xtensa..........Processor
ddfe0 20 47 65 6e 65 72 61 74 6f 72 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 42 55 49 .Generator.*/..#define.XCHAL_BUI
de000 4c 44 5f 55 4e 49 51 55 45 5f 49 44 09 09 30 78 30 30 30 33 35 35 32 31 09 2f 2a 20 32 32 2d 62 LD_UNIQUE_ID..0x00035521./*.22-b
de020 69 74 20 73 77 20 62 75 69 6c 64 20 49 44 20 2a 2f 0a 0a 2f 2a 0a 20 2a 20 20 54 68 65 73 65 20 it.sw.build.ID.*/../*..*..These.
de040 64 65 66 69 6e 69 74 69 6f 6e 73 20 64 65 73 63 72 69 62 65 20 74 68 65 20 68 61 72 64 77 61 72 definitions.describe.the.hardwar
de060 65 20 74 61 72 67 65 74 65 64 20 62 79 20 74 68 69 73 20 73 6f 66 74 77 61 72 65 2e 0a 20 2a 2f e.targeted.by.this.software...*/
de080 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 43 4f 4e 46 49 47 49 44 30 09 09 30 78 43 .#define.XCHAL_HW_CONFIGID0..0xC
de0a0 35 36 37 30 37 46 45 09 2f 2a 20 43 6f 6e 66 69 67 49 44 20 68 69 20 33 32 20 62 69 74 73 2a 2f 56707FE./*.ConfigID.hi.32.bits*/
de0c0 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 43 4f 4e 46 49 47 49 44 31 09 09 30 78 31 .#define.XCHAL_HW_CONFIGID1..0x1
de0e0 34 30 30 42 45 45 46 09 2f 2a 20 43 6f 6e 66 69 67 49 44 20 6c 6f 20 33 32 20 62 69 74 73 2a 2f 400BEEF./*.ConfigID.lo.32.bits*/
de100 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f 4e 5f 4e 41 4d 45 09 09 .#define.XCHAL_HW_VERSION_NAME..
de120 22 4c 58 36 2e 30 2e 34 22 09 2f 2a 20 66 75 6c 6c 20 76 65 72 73 69 6f 6e 20 6e 61 6d 65 20 2a "LX6.0.4"./*.full.version.name.*
de140 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f 4e 5f 4d 41 4a 4f 52 /.#define.XCHAL_HW_VERSION_MAJOR
de160 09 09 32 36 30 30 09 2f 2a 20 6d 61 6a 6f 72 20 76 65 72 23 20 6f 66 20 74 61 72 67 65 74 65 64 ..2600./*.major.ver#.of.targeted
de180 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f 4e 5f .hw.*/.#define.XCHAL_HW_VERSION_
de1a0 4d 49 4e 4f 52 09 09 34 09 2f 2a 20 6d 69 6e 6f 72 20 76 65 72 23 20 6f 66 20 74 61 72 67 65 74 MINOR..4./*.minor.ver#.of.target
de1c0 65 64 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 56 45 52 53 49 4f ed.hw.*/.#define.XCHAL_HW_VERSIO
de1e0 4e 09 09 32 36 30 30 30 34 09 2f 2a 20 6d 61 6a 6f 72 2a 31 30 30 2b 6d 69 6e 6f 72 20 2a 2f 0a N..260004./*.major*100+minor.*/.
de200 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 52 45 4c 5f 4c 58 36 09 09 31 0a 23 64 65 66 #define.XCHAL_HW_REL_LX6..1.#def
de220 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 52 45 4c 5f 4c 58 36 5f 30 09 09 31 0a 23 64 65 66 69 6e ine.XCHAL_HW_REL_LX6_0..1.#defin
de240 65 20 58 43 48 41 4c 5f 48 57 5f 52 45 4c 5f 4c 58 36 5f 30 5f 34 09 09 31 0a 23 64 65 66 69 6e e.XCHAL_HW_REL_LX6_0_4..1.#defin
de260 65 20 58 43 48 41 4c 5f 48 57 5f 43 4f 4e 46 49 47 49 44 5f 52 45 4c 49 41 42 4c 45 09 31 0a 2f e.XCHAL_HW_CONFIGID_RELIABLE.1./
de280 2a 20 20 49 66 20 73 6f 66 74 77 61 72 65 20 74 61 72 67 65 74 73 20 61 20 2a 72 61 6e 67 65 2a *..If.software.targets.a.*range*
de2a0 20 6f 66 20 68 61 72 64 77 61 72 65 20 76 65 72 73 69 6f 6e 73 2c 20 74 68 65 73 65 20 61 72 65 .of.hardware.versions,.these.are
de2c0 20 74 68 65 20 62 6f 75 6e 64 73 3a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 .the.bounds:.*/.#define.XCHAL_HW
de2e0 5f 4d 49 4e 5f 56 45 52 53 49 4f 4e 5f 4d 41 4a 4f 52 09 32 36 30 30 09 2f 2a 20 6d 61 6a 6f 72 _MIN_VERSION_MAJOR.2600./*.major
de300 20 76 20 6f 66 20 65 61 72 6c 69 65 73 74 20 74 67 74 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 .v.of.earliest.tgt.hw.*/.#define
de320 20 58 43 48 41 4c 5f 48 57 5f 4d 49 4e 5f 56 45 52 53 49 4f 4e 5f 4d 49 4e 4f 52 09 34 09 2f 2a .XCHAL_HW_MIN_VERSION_MINOR.4./*
de340 20 6d 69 6e 6f 72 20 76 20 6f 66 20 65 61 72 6c 69 65 73 74 20 74 67 74 20 68 77 20 2a 2f 0a 23 .minor.v.of.earliest.tgt.hw.*/.#
de360 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 49 4e 5f 56 45 52 53 49 4f 4e 09 09 32 36 30 define.XCHAL_HW_MIN_VERSION..260
de380 30 30 34 09 2f 2a 20 65 61 72 6c 69 65 73 74 20 74 61 72 67 65 74 65 64 20 68 77 20 2a 2f 0a 23 004./*.earliest.targeted.hw.*/.#
de3a0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 41 58 5f 56 45 52 53 49 4f 4e 5f 4d 41 4a 4f define.XCHAL_HW_MAX_VERSION_MAJO
de3c0 52 09 32 36 30 30 09 2f 2a 20 6d 61 6a 6f 72 20 76 20 6f 66 20 6c 61 74 65 73 74 20 74 67 74 20 R.2600./*.major.v.of.latest.tgt.
de3e0 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 41 58 5f 56 45 52 53 49 hw.*/.#define.XCHAL_HW_MAX_VERSI
de400 4f 4e 5f 4d 49 4e 4f 52 09 34 09 2f 2a 20 6d 69 6e 6f 72 20 76 20 6f 66 20 6c 61 74 65 73 74 20 ON_MINOR.4./*.minor.v.of.latest.
de420 74 67 74 20 68 77 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 57 5f 4d 41 58 5f 56 tgt.hw.*/.#define.XCHAL_HW_MAX_V
de440 45 52 53 49 4f 4e 09 09 32 36 30 30 30 34 09 2f 2a 20 6c 61 74 65 73 74 20 74 61 72 67 65 74 65 ERSION..260004./*.latest.targete
de460 64 20 68 77 20 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d d.hw.*/.../*--------------------
de480 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
de4a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 43 41 43 48 45 0a 20 20 2d ------------------.....CACHE...-
de4c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
de4e0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
de500 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 -----*/..#define.XCHAL_ICACHE_LI
de520 4e 45 53 49 5a 45 09 09 33 32 09 2f 2a 20 49 2d 63 61 63 68 65 20 6c 69 6e 65 20 73 69 7a 65 20 NESIZE..32./*.I-cache.line.size.
de540 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 in.bytes.*/.#define.XCHAL_DCACHE
de560 5f 4c 49 4e 45 53 49 5a 45 09 09 33 32 09 2f 2a 20 44 2d 63 61 63 68 65 20 6c 69 6e 65 20 73 69 _LINESIZE..32./*.D-cache.line.si
de580 7a 65 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 ze.in.bytes.*/.#define.XCHAL_ICA
de5a0 43 48 45 5f 4c 49 4e 45 57 49 44 54 48 09 09 35 09 2f 2a 20 6c 6f 67 32 28 49 20 6c 69 6e 65 20 CHE_LINEWIDTH..5./*.log2(I.line.
de5c0 73 69 7a 65 20 69 6e 20 62 79 74 65 73 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f size.in.bytes).*/.#define.XCHAL_
de5e0 44 43 41 43 48 45 5f 4c 49 4e 45 57 49 44 54 48 09 09 35 09 2f 2a 20 6c 6f 67 32 28 44 20 6c 69 DCACHE_LINEWIDTH..5./*.log2(D.li
de600 6e 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 29 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 ne.size.in.bytes).*/..#define.XC
de620 48 41 4c 5f 49 43 41 43 48 45 5f 53 49 5a 45 09 09 31 36 33 38 34 09 2f 2a 20 49 2d 63 61 63 68 HAL_ICACHE_SIZE..16384./*.I-cach
de640 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 6f 72 20 30 20 2a 2f 0a 23 64 65 66 69 6e 65 20 e.size.in.bytes.or.0.*/.#define.
de660 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 53 49 5a 45 09 09 31 36 33 38 34 09 2f 2a 20 44 2d 63 61 XCHAL_DCACHE_SIZE..16384./*.D-ca
de680 63 68 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 6f 72 20 30 20 2a 2f 0a 0a 23 64 65 66 69 che.size.in.bytes.or.0.*/..#defi
de6a0 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 49 53 5f 57 52 49 54 45 42 41 43 4b 09 31 09 2f ne.XCHAL_DCACHE_IS_WRITEBACK.1./
de6c0 2a 20 77 72 69 74 65 62 61 63 6b 20 66 65 61 74 75 72 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 *.writeback.feature.*/.#define.X
de6e0 43 48 41 4c 5f 44 43 41 43 48 45 5f 49 53 5f 43 4f 48 45 52 45 4e 54 09 30 09 2f 2a 20 4d 50 20 CHAL_DCACHE_IS_COHERENT.0./*.MP.
de700 63 6f 68 65 72 65 6e 63 65 20 66 65 61 74 75 72 65 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 coherence.feature.*/..#define.XC
de720 48 41 4c 5f 48 41 56 45 5f 50 52 45 46 45 54 43 48 09 09 30 09 2f 2a 20 50 52 45 46 43 54 4c 20 HAL_HAVE_PREFETCH..0./*.PREFCTL.
de740 72 65 67 69 73 74 65 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 register.*/.#define.XCHAL_HAVE_P
de760 52 45 46 45 54 43 48 5f 4c 31 09 09 30 09 2f 2a 20 70 72 65 66 65 74 63 68 20 74 6f 20 4c 31 20 REFETCH_L1..0./*.prefetch.to.L1.
de780 64 63 61 63 68 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 50 52 45 46 45 54 43 48 dcache.*/.#define.XCHAL_PREFETCH
de7a0 5f 43 41 53 54 4f 55 54 5f 4c 49 4e 45 53 09 30 09 2f 2a 20 64 63 61 63 68 65 20 70 72 65 66 2e _CASTOUT_LINES.0./*.dcache.pref.
de7c0 20 63 61 73 74 6f 75 74 20 62 75 66 73 7a 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f .castout.bufsz.*/.#define.XCHAL_
de7e0 50 52 45 46 45 54 43 48 5f 45 4e 54 52 49 45 53 09 09 30 09 2f 2a 20 63 61 63 68 65 20 70 72 65 PREFETCH_ENTRIES..0./*.cache.pre
de800 66 65 74 63 68 20 65 6e 74 72 69 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 50 fetch.entries.*/.#define.XCHAL_P
de820 52 45 46 45 54 43 48 5f 42 4c 4f 43 4b 5f 45 4e 54 52 49 45 53 09 30 09 2f 2a 20 70 72 65 66 65 REFETCH_BLOCK_ENTRIES.0./*.prefe
de840 74 63 68 20 62 6c 6f 63 6b 20 73 74 72 65 61 6d 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 tch.block.streams.*/.#define.XCH
de860 41 4c 5f 48 41 56 45 5f 43 41 43 48 45 5f 42 4c 4f 43 4b 4f 50 53 09 30 09 2f 2a 20 62 6c 6f 63 AL_HAVE_CACHE_BLOCKOPS.0./*.bloc
de880 6b 20 70 72 65 66 65 74 63 68 20 66 6f 72 20 63 61 63 68 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 k.prefetch.for.caches.*/.#define
de8a0 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 43 41 43 48 45 5f 54 45 53 54 09 09 31 09 2f 2a 20 49 63 .XCHAL_HAVE_ICACHE_TEST..1./*.Ic
de8c0 61 63 68 65 20 74 65 73 74 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 6e ache.test.instructions.*/.#defin
de8e0 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 43 41 43 48 45 5f 54 45 53 54 09 09 31 09 2f 2a 20 44 e.XCHAL_HAVE_DCACHE_TEST..1./*.D
de900 63 61 63 68 65 20 74 65 73 74 20 69 6e 73 74 72 75 63 74 69 6f 6e 73 20 2a 2f 0a 23 64 65 66 69 cache.test.instructions.*/.#defi
de920 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 43 41 43 48 45 5f 44 59 4e 5f 57 41 59 53 09 30 09 ne.XCHAL_HAVE_ICACHE_DYN_WAYS.0.
de940 2f 2a 20 49 63 61 63 68 65 20 64 79 6e 61 6d 69 63 20 77 61 79 20 73 75 70 70 6f 72 74 20 2a 2f /*.Icache.dynamic.way.support.*/
de960 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 43 41 43 48 45 5f 44 59 4e 5f 57 .#define.XCHAL_HAVE_DCACHE_DYN_W
de980 41 59 53 09 30 09 2f 2a 20 44 63 61 63 68 65 20 64 79 6e 61 6d 69 63 20 77 61 79 20 73 75 70 70 AYS.0./*.Dcache.dynamic.way.supp
de9a0 6f 72 74 20 2a 2f 0a 0a 0a 0a 0a 2f 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ort.*/...../********************
de9c0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
de9e0 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 0a 20 20 20 20 50 61 72 ************************.....Par
dea00 61 6d 65 74 65 72 73 20 55 73 65 66 75 6c 20 66 6f 72 20 50 52 49 56 49 4c 45 47 45 44 20 28 53 ameters.Useful.for.PRIVILEGED.(S
dea20 75 70 65 72 76 69 73 6f 72 79 20 6f 72 20 4e 6f 6e 2d 56 69 72 74 75 61 6c 69 7a 65 64 29 20 43 upervisory.or.Non-Virtualized).C
dea40 6f 64 65 0a 20 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ode..***************************
dea60 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a ********************************
dea80 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2a 2f 0a 0a 0a 23 69 66 6e 64 65 66 20 58 54 45 *****************/...#ifndef.XTE
deaa0 4e 53 41 5f 48 41 4c 5f 4e 4f 4e 5f 50 52 49 56 49 4c 45 47 45 44 5f 4f 4e 4c 59 0a 0a 2f 2a 2d NSA_HAL_NON_PRIVILEGED_ONLY../*-
deac0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
deae0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
deb00 2d 2d 2d 2d 2d 0a 09 09 09 09 43 41 43 48 45 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d -----.....CACHE...--------------
deb20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
deb40 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 64 65 66 ------------------------*/..#def
deb60 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 49 46 09 09 09 31 09 2f 2a 20 61 6e 79 20 6f 75 ine.XCHAL_HAVE_PIF...1./*.any.ou
deb80 74 62 6f 75 6e 64 20 50 49 46 20 70 72 65 73 65 6e 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 tbound.PIF.present.*/.#define.XC
deba0 48 41 4c 5f 48 41 56 45 5f 41 58 49 09 09 09 30 09 2f 2a 20 41 58 49 20 62 75 73 20 2a 2f 0a 0a HAL_HAVE_AXI...0./*.AXI.bus.*/..
debc0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 49 46 5f 57 52 5f 52 45 53 50 09 09 #define.XCHAL_HAVE_PIF_WR_RESP..
debe0 09 30 09 2f 2a 20 70 69 66 20 77 72 69 74 65 20 72 65 73 70 6f 6e 73 65 20 2a 2f 0a 23 64 65 66 .0./*.pif.write.response.*/.#def
dec00 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 49 46 5f 52 45 51 5f 41 54 54 52 09 09 09 30 09 ine.XCHAL_HAVE_PIF_REQ_ATTR...0.
dec20 2f 2a 20 70 69 66 20 61 74 74 72 69 62 75 74 65 20 2a 2f 0a 0a 2f 2a 20 20 49 66 20 70 72 65 73 /*.pif.attribute.*/../*..If.pres
dec40 65 6e 74 2c 20 63 61 63 68 65 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 3d 3d 20 28 77 61 79 ent,.cache.size.in.bytes.==.(way
dec60 73 20 2a 20 32 5e 28 6c 69 6e 65 77 69 64 74 68 20 2b 20 73 65 74 77 69 64 74 68 29 29 2e 20 20 s.*.2^(linewidth.+.setwidth))...
dec80 2a 2f 0a 0a 2f 2a 20 20 4e 75 6d 62 65 72 20 6f 66 20 63 61 63 68 65 20 73 65 74 73 20 69 6e 20 */../*..Number.of.cache.sets.in.
deca0 6c 6f 67 32 28 6c 69 6e 65 73 20 70 65 72 20 77 61 79 29 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 log2(lines.per.way):..*/.#define
decc0 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 53 45 54 57 49 44 54 48 09 09 37 0a 23 64 65 66 69 6e .XCHAL_ICACHE_SETWIDTH..7.#defin
dece0 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 53 45 54 57 49 44 54 48 09 09 37 0a 0a 2f 2a 20 20 e.XCHAL_DCACHE_SETWIDTH..7../*..
ded00 43 61 63 68 65 20 73 65 74 20 61 73 73 6f 63 69 61 74 69 76 69 74 79 20 28 6e 75 6d 62 65 72 20 Cache.set.associativity.(number.
ded20 6f 66 20 77 61 79 73 29 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 of.ways):..*/.#define.XCHAL_ICAC
ded40 48 45 5f 57 41 59 53 09 09 34 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f HE_WAYS..4.#define.XCHAL_DCACHE_
ded60 57 41 59 53 09 09 34 0a 0a 2f 2a 20 20 43 61 63 68 65 20 66 65 61 74 75 72 65 73 3a 20 20 2a 2f WAYS..4../*..Cache.features:..*/
ded80 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 4c 49 4e 45 5f 4c 4f 43 4b 41 .#define.XCHAL_ICACHE_LINE_LOCKA
deda0 42 4c 45 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 4c 49 4e 45 5f BLE.1.#define.XCHAL_DCACHE_LINE_
dedc0 4c 4f 43 4b 41 42 4c 45 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f LOCKABLE.1.#define.XCHAL_ICACHE_
dede0 45 43 43 5f 50 41 52 49 54 59 09 09 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 ECC_PARITY..0.#define.XCHAL_DCAC
dee00 48 45 5f 45 43 43 5f 50 41 52 49 54 59 09 09 30 0a 0a 2f 2a 20 20 43 61 63 68 65 20 61 63 63 65 HE_ECC_PARITY..0../*..Cache.acce
dee20 73 73 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 28 61 66 66 65 63 74 73 20 6f 70 65 72 61 74 ss.size.in.bytes.(affects.operat
dee40 69 6f 6e 20 6f 66 20 53 49 43 57 20 69 6e 73 74 72 75 63 74 69 6f 6e 29 3a 20 20 2a 2f 0a 23 64 ion.of.SICW.instruction):..*/.#d
dee60 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 43 41 43 48 45 5f 41 43 43 45 53 53 5f 53 49 5a 45 09 34 efine.XCHAL_ICACHE_ACCESS_SIZE.4
dee80 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 41 43 43 45 53 53 5f 53 49 5a .#define.XCHAL_DCACHE_ACCESS_SIZ
deea0 45 09 34 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 43 41 43 48 45 5f 42 41 4e 4b 53 09 E.4..#define.XCHAL_DCACHE_BANKS.
deec0 09 31 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 62 61 6e 6b 73 20 2a 2f 0a 0a 2f 2a 20 20 4e 75 .1./*.number.of.banks.*/../*..Nu
deee0 6d 62 65 72 20 6f 66 20 65 6e 63 6f 64 65 64 20 63 61 63 68 65 20 61 74 74 72 20 62 69 74 73 20 mber.of.encoded.cache.attr.bits.
def00 28 73 65 65 20 3c 78 74 65 6e 73 61 2f 68 61 6c 2e 68 3e 20 66 6f 72 20 64 65 63 6f 64 65 64 20 (see.<xtensa/hal.h>.for.decoded.
def20 62 69 74 73 29 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 43 41 5f 42 49 54 53 bits):..*/.#define.XCHAL_CA_BITS
def40 09 09 09 34 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ...4.../*-----------------------
def60 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
def80 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 49 4e 54 45 52 4e 41 4c 20 49 2f 44 20 ---------------....INTERNAL.I/D.
defa0 52 41 4d 2f 52 4f 4d 73 20 61 6e 64 20 58 4c 4d 49 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d RAM/ROMs.and.XLMI...------------
defc0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
defe0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 64 --------------------------*/..#d
df000 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 4e 53 54 52 4f 4d 09 09 30 09 2f 2a 20 6e 75 efine.XCHAL_NUM_INSTROM..0./*.nu
df020 6d 62 65 72 20 6f 66 20 63 6f 72 65 20 69 6e 73 74 72 2e 20 52 4f 4d 73 20 2a 2f 0a 23 64 65 66 mber.of.core.instr..ROMs.*/.#def
df040 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 4e 53 54 52 41 4d 09 09 30 09 2f 2a 20 6e 75 6d 62 ine.XCHAL_NUM_INSTRAM..0./*.numb
df060 65 72 20 6f 66 20 63 6f 72 65 20 69 6e 73 74 72 2e 20 52 41 4d 73 20 2a 2f 0a 23 64 65 66 69 6e er.of.core.instr..RAMs.*/.#defin
df080 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 44 41 54 41 52 4f 4d 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 e.XCHAL_NUM_DATAROM..0./*.number
df0a0 20 6f 66 20 63 6f 72 65 20 64 61 74 61 20 52 4f 4d 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .of.core.data.ROMs.*/.#define.XC
df0c0 48 41 4c 5f 4e 55 4d 5f 44 41 54 41 52 41 4d 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 HAL_NUM_DATARAM..0./*.number.of.
df0e0 63 6f 72 65 20 64 61 74 61 20 52 41 4d 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f core.data.RAMs.*/.#define.XCHAL_
df100 4e 55 4d 5f 55 52 41 4d 09 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 63 6f 72 65 20 75 NUM_URAM...0./*.number.of.core.u
df120 6e 69 66 69 65 64 20 52 41 4d 73 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f nified.RAMs*/.#define.XCHAL_NUM_
df140 58 4c 4d 49 09 09 09 30 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 63 6f 72 65 20 58 4c 4d 49 20 XLMI...0./*.number.of.core.XLMI.
df160 70 6f 72 74 73 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 4d 45 ports.*/..#define.XCHAL_HAVE_IME
df180 4d 5f 4c 4f 41 44 53 54 4f 52 45 09 31 09 2f 2a 20 63 61 6e 20 6c 6f 61 64 2f 73 74 6f 72 65 20 M_LOADSTORE.1./*.can.load/store.
df1a0 74 6f 20 49 52 4f 4d 2f 49 52 41 4d 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d to.IROM/IRAM*/.../*-------------
df1c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
df1e0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 09 09 49 4e 54 -------------------------....INT
df200 45 52 52 55 50 54 53 20 61 6e 64 20 54 49 4d 45 52 53 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ERRUPTS.and.TIMERS...-----------
df220 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
df240 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 23 ---------------------------*/..#
df260 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 4e 54 45 52 52 55 50 54 53 09 09 31 09 define.XCHAL_HAVE_INTERRUPTS..1.
df280 2f 2a 20 69 6e 74 65 72 72 75 70 74 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 /*.interrupt.option.*/.#define.X
df2a0 43 48 41 4c 5f 48 41 56 45 5f 48 49 47 48 50 52 49 5f 49 4e 54 45 52 52 55 50 54 53 09 31 09 2f CHAL_HAVE_HIGHPRI_INTERRUPTS.1./
df2c0 2a 20 6d 65 64 2f 68 69 67 68 2d 70 72 69 2e 20 69 6e 74 65 72 72 75 70 74 73 20 2a 2f 0a 23 64 *.med/high-pri..interrupts.*/.#d
df2e0 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4e 4d 49 09 09 09 31 09 2f 2a 20 6e 6f 6e 2d efine.XCHAL_HAVE_NMI...1./*.non-
df300 6d 61 73 6b 61 62 6c 65 20 69 6e 74 65 72 72 75 70 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 maskable.interrupt.*/.#define.XC
df320 48 41 4c 5f 48 41 56 45 5f 43 43 4f 55 4e 54 09 09 31 09 2f 2a 20 43 43 4f 55 4e 54 20 72 65 67 HAL_HAVE_CCOUNT..1./*.CCOUNT.reg
df340 2e 20 28 74 69 6d 65 72 20 6f 70 74 69 6f 6e 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ..(timer.option).*/.#define.XCHA
df360 4c 5f 4e 55 4d 5f 54 49 4d 45 52 53 09 09 33 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 43 43 4f L_NUM_TIMERS..3./*.number.of.CCO
df380 4d 50 41 52 45 6e 20 72 65 67 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d MPAREn.regs.*/.#define.XCHAL_NUM
df3a0 5f 49 4e 54 45 52 52 55 50 54 53 09 09 32 32 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 69 6e 74 _INTERRUPTS..22./*.number.of.int
df3c0 65 72 72 75 70 74 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 49 4e 54 errupts.*/.#define.XCHAL_NUM_INT
df3e0 45 52 52 55 50 54 53 5f 4c 4f 47 32 09 35 09 2f 2a 20 63 65 69 6c 28 6c 6f 67 32 28 4e 55 4d 5f ERRUPTS_LOG2.5./*.ceil(log2(NUM_
df400 49 4e 54 45 52 52 55 50 54 53 29 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 INTERRUPTS)).*/.#define.XCHAL_NU
df420 4d 5f 45 58 54 49 4e 54 45 52 52 55 50 54 53 09 09 31 37 09 2f 2a 20 6e 75 6d 20 6f 66 20 65 78 M_EXTINTERRUPTS..17./*.num.of.ex
df440 74 65 72 6e 61 6c 20 69 6e 74 65 72 72 75 70 74 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 ternal.interrupts.*/.#define.XCH
df460 41 4c 5f 4e 55 4d 5f 49 4e 54 4c 45 56 45 4c 53 09 09 36 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 AL_NUM_INTLEVELS..6./*.number.of
df480 20 69 6e 74 65 72 72 75 70 74 20 6c 65 76 65 6c 73 0a 09 09 09 09 09 09 20 20 20 28 6e 6f 74 20 .interrupt.levels..........(not.
df4a0 69 6e 63 6c 75 64 69 6e 67 20 6c 65 76 65 6c 20 7a 65 72 6f 29 20 2a 2f 0a 23 64 65 66 69 6e 65 including.level.zero).*/.#define
df4c0 20 58 43 48 41 4c 5f 45 58 43 4d 5f 4c 45 56 45 4c 09 09 33 09 2f 2a 20 6c 65 76 65 6c 20 6d 61 .XCHAL_EXCM_LEVEL..3./*.level.ma
df4e0 73 6b 65 64 20 62 79 20 50 53 2e 45 58 43 4d 20 2a 2f 0a 09 2f 2a 20 28 61 6c 77 61 79 73 20 31 sked.by.PS.EXCM.*/../*.(always.1
df500 20 69 6e 20 58 45 41 31 3b 20 6c 65 76 65 6c 73 20 32 20 2e 2e 20 45 58 43 4d 5f 4c 45 56 45 4c .in.XEA1;.levels.2....EXCM_LEVEL
df520 20 61 72 65 20 22 6d 65 64 69 75 6d 20 70 72 69 6f 72 69 74 79 22 29 20 2a 2f 0a 0a 2f 2a 20 20 .are."medium.priority").*/../*..
df540 4d 61 73 6b 73 20 6f 66 20 69 6e 74 65 72 72 75 70 74 73 20 61 74 20 65 61 63 68 20 69 6e 74 65 Masks.of.interrupts.at.each.inte
df560 72 72 75 70 74 20 6c 65 76 65 6c 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 rrupt.level:..*/.#define.XCHAL_I
df580 4e 54 4c 45 56 45 4c 31 5f 4d 41 53 4b 09 09 30 78 30 30 31 46 38 30 46 46 0a 23 64 65 66 69 6e NTLEVEL1_MASK..0x001F80FF.#defin
df5a0 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 32 5f 4d 41 53 4b 09 09 30 78 30 30 30 30 30 31 e.XCHAL_INTLEVEL2_MASK..0x000001
df5c0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 4d 41 53 4b 09 00.#define.XCHAL_INTLEVEL3_MASK.
df5e0 09 30 78 30 30 32 30 30 45 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 .0x00200E00.#define.XCHAL_INTLEV
df600 45 4c 34 5f 4d 41 53 4b 09 09 30 78 30 30 30 30 31 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 EL4_MASK..0x00001000.#define.XCH
df620 41 4c 5f 49 4e 54 4c 45 56 45 4c 35 5f 4d 41 53 4b 09 09 30 78 30 30 30 30 32 30 30 30 0a 23 64 AL_INTLEVEL5_MASK..0x00002000.#d
df640 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 4d 41 53 4b 09 09 30 78 30 30 efine.XCHAL_INTLEVEL6_MASK..0x00
df660 30 30 30 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 4d 000000.#define.XCHAL_INTLEVEL7_M
df680 41 53 4b 09 09 30 78 30 30 30 30 34 30 30 30 0a 0a 2f 2a 20 20 4d 61 73 6b 73 20 6f 66 20 69 6e ASK..0x00004000../*..Masks.of.in
df6a0 74 65 72 72 75 70 74 73 20 61 74 20 65 61 63 68 20 72 61 6e 67 65 20 31 2e 2e 6e 20 6f 66 20 69 terrupts.at.each.range.1..n.of.i
df6c0 6e 74 65 72 72 75 70 74 20 6c 65 76 65 6c 73 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 nterrupt.levels:..*/.#define.XCH
df6e0 41 4c 5f 49 4e 54 4c 45 56 45 4c 31 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 31 AL_INTLEVEL1_ANDBELOW_MASK.0x001
df700 46 38 30 46 46 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 32 5f 41 4e F80FF.#define.XCHAL_INTLEVEL2_AN
df720 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 31 46 38 31 46 46 0a 23 64 65 66 69 6e 65 20 58 DBELOW_MASK.0x001F81FF.#define.X
df740 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 CHAL_INTLEVEL3_ANDBELOW_MASK.0x0
df760 30 33 46 38 46 46 46 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 34 5f 03F8FFF.#define.XCHAL_INTLEVEL4_
df780 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 33 46 39 46 46 46 0a 23 64 65 66 69 6e 65 ANDBELOW_MASK.0x003F9FFF.#define
df7a0 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 35 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 .XCHAL_INTLEVEL5_ANDBELOW_MASK.0
df7c0 78 30 30 33 46 42 46 46 46 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c x003FBFFF.#define.XCHAL_INTLEVEL
df7e0 36 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b 09 30 78 30 30 33 46 42 46 46 46 0a 23 64 65 66 69 6_ANDBELOW_MASK.0x003FBFFF.#defi
df800 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 41 4e 44 42 45 4c 4f 57 5f 4d 41 53 4b ne.XCHAL_INTLEVEL7_ANDBELOW_MASK
df820 09 30 78 30 30 33 46 46 46 46 46 0a 0a 2f 2a 20 20 4c 65 76 65 6c 20 6f 66 20 65 61 63 68 20 69 .0x003FFFFF../*..Level.of.each.i
df840 6e 74 65 72 72 75 70 74 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 30 nterrupt:..*/.#define.XCHAL_INT0
df860 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 5f 4c 45 56 _LEVEL..1.#define.XCHAL_INT1_LEV
df880 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 5f 4c 45 56 45 4c 09 09 EL..1.#define.XCHAL_INT2_LEVEL..
df8a0 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 33 5f 4c 45 56 45 4c 09 09 31 0a 23 64 1.#define.XCHAL_INT3_LEVEL..1.#d
df8c0 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 34 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e efine.XCHAL_INT4_LEVEL..1.#defin
df8e0 65 20 58 43 48 41 4c 5f 49 4e 54 35 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 e.XCHAL_INT5_LEVEL..1.#define.XC
df900 48 41 4c 5f 49 4e 54 36 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f HAL_INT6_LEVEL..1.#define.XCHAL_
df920 49 4e 54 37 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 38 INT7_LEVEL..1.#define.XCHAL_INT8
df940 5f 4c 45 56 45 4c 09 09 32 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 39 5f 4c 45 56 _LEVEL..2.#define.XCHAL_INT9_LEV
df960 45 4c 09 09 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 30 5f 4c 45 56 45 4c 09 EL..3.#define.XCHAL_INT10_LEVEL.
df980 09 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 31 5f 4c 45 56 45 4c 09 09 33 0a .3.#define.XCHAL_INT11_LEVEL..3.
df9a0 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 32 5f 4c 45 56 45 4c 09 09 34 0a 23 64 65 #define.XCHAL_INT12_LEVEL..4.#de
df9c0 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 33 5f 4c 45 56 45 4c 09 09 35 0a 23 64 65 66 69 6e fine.XCHAL_INT13_LEVEL..5.#defin
df9e0 65 20 58 43 48 41 4c 5f 49 4e 54 31 34 5f 4c 45 56 45 4c 09 09 37 0a 23 64 65 66 69 6e 65 20 58 e.XCHAL_INT14_LEVEL..7.#define.X
dfa00 43 48 41 4c 5f 49 4e 54 31 35 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 CHAL_INT15_LEVEL..1.#define.XCHA
dfa20 4c 5f 49 4e 54 31 36 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 L_INT16_LEVEL..1.#define.XCHAL_I
dfa40 4e 54 31 37 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 NT17_LEVEL..1.#define.XCHAL_INT1
dfa60 38 5f 4c 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 39 5f 4c 8_LEVEL..1.#define.XCHAL_INT19_L
dfa80 45 56 45 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 30 5f 4c 45 56 45 EVEL..1.#define.XCHAL_INT20_LEVE
dfaa0 4c 09 09 31 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 31 5f 4c 45 56 45 4c 09 09 L..1.#define.XCHAL_INT21_LEVEL..
dfac0 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 4c 45 56 45 4c 09 09 36 09 2f 2a 3.#define.XCHAL_DEBUGLEVEL..6./*
dfae0 20 64 65 62 75 67 20 69 6e 74 65 72 72 75 70 74 20 6c 65 76 65 6c 20 2a 2f 0a 23 64 65 66 69 6e .debug.interrupt.level.*/.#defin
dfb00 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 42 55 47 5f 45 58 54 45 52 4e 5f 49 4e 54 09 31 09 e.XCHAL_HAVE_DEBUG_EXTERN_INT.1.
dfb20 2f 2a 20 4f 43 44 20 65 78 74 65 72 6e 61 6c 20 64 62 20 69 6e 74 65 72 72 75 70 74 20 2a 2f 0a /*.OCD.external.db.interrupt.*/.
dfb40 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 4c 45 56 45 4c 09 09 09 37 09 2f 2a 20 4e 4d #define.XCHAL_NMILEVEL...7./*.NM
dfb60 49 20 22 6c 65 76 65 6c 22 20 28 66 6f 72 20 75 73 65 20 77 69 74 68 0a 09 09 09 09 09 09 20 20 I."level".(for.use.with.........
dfb80 20 45 58 43 53 41 56 45 2f 45 50 53 2f 45 50 43 5f 6e 2c 20 52 46 49 20 6e 29 20 2a 2f 0a 0a 2f .EXCSAVE/EPS/EPC_n,.RFI.n).*/../
dfba0 2a 20 20 54 79 70 65 20 6f 66 20 65 61 63 68 20 69 6e 74 65 72 72 75 70 74 3a 20 20 2a 2f 0a 23 *..Type.of.each.interrupt:..*/.#
dfbc0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 30 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e define.XCHAL_INT0_TYPE..XTHAL_IN
dfbe0 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c TTYPE_EXTERN_LEVEL.#define.XCHAL
dfc00 5f 49 4e 54 31 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e _INT1_TYPE..XTHAL_INTTYPE_EXTERN
dfc20 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 5f 54 59 50 45 20 09 _LEVEL.#define.XCHAL_INT2_TYPE..
dfc40 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 XTHAL_INTTYPE_EXTERN_LEVEL.#defi
dfc60 6e 65 20 58 43 48 41 4c 5f 49 4e 54 33 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 ne.XCHAL_INT3_TYPE..XTHAL_INTTYP
dfc80 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 E_EXTERN_LEVEL.#define.XCHAL_INT
dfca0 34 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 4_TYPE..XTHAL_INTTYPE_EXTERN_LEV
dfcc0 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 35 5f 54 59 50 45 20 09 58 54 48 41 EL.#define.XCHAL_INT5_TYPE..XTHA
dfce0 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 L_INTTYPE_EXTERN_LEVEL.#define.X
dfd00 43 48 41 4c 5f 49 4e 54 36 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 54 49 CHAL_INT6_TYPE..XTHAL_INTTYPE_TI
dfd20 4d 45 52 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 37 5f 54 59 50 45 20 09 58 54 48 MER.#define.XCHAL_INT7_TYPE..XTH
dfd40 41 4c 5f 49 4e 54 54 59 50 45 5f 53 4f 46 54 57 41 52 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 AL_INTTYPE_SOFTWARE.#define.XCHA
dfd60 4c 5f 49 4e 54 38 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 L_INT8_TYPE..XTHAL_INTTYPE_EXTER
dfd80 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 39 5f 54 59 50 45 20 N_LEVEL.#define.XCHAL_INT9_TYPE.
dfda0 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 .XTHAL_INTTYPE_EXTERN_LEVEL.#def
dfdc0 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 30 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 ine.XCHAL_INT10_TYPE..XTHAL_INTT
dfde0 59 50 45 5f 54 49 4d 45 52 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 31 5f 54 59 YPE_TIMER.#define.XCHAL_INT11_TY
dfe00 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 53 4f 46 54 57 41 52 45 0a 23 64 65 66 69 PE..XTHAL_INTTYPE_SOFTWARE.#defi
dfe20 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 32 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 ne.XCHAL_INT12_TYPE..XTHAL_INTTY
dfe40 50 45 5f 45 58 54 45 52 4e 5f 4c 45 56 45 4c 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e PE_EXTERN_LEVEL.#define.XCHAL_IN
dfe60 54 31 33 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 54 49 4d 45 52 0a 23 64 T13_TYPE..XTHAL_INTTYPE_TIMER.#d
dfe80 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 34 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e efine.XCHAL_INT14_TYPE..XTHAL_IN
dfea0 54 54 59 50 45 5f 4e 4d 49 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 35 5f 54 59 TTYPE_NMI.#define.XCHAL_INT15_TY
dfec0 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 PE..XTHAL_INTTYPE_EXTERN_EDGE.#d
dfee0 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 36 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e efine.XCHAL_INT16_TYPE..XTHAL_IN
dff00 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f TTYPE_EXTERN_EDGE.#define.XCHAL_
dff20 49 4e 54 31 37 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e INT17_TYPE..XTHAL_INTTYPE_EXTERN
dff40 5f 45 44 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 38 5f 54 59 50 45 20 09 _EDGE.#define.XCHAL_INT18_TYPE..
dff60 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 65 66 69 6e XTHAL_INTTYPE_EXTERN_EDGE.#defin
dff80 65 20 58 43 48 41 4c 5f 49 4e 54 31 39 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 e.XCHAL_INT19_TYPE..XTHAL_INTTYP
dffa0 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 E_EXTERN_EDGE.#define.XCHAL_INT2
dffc0 30 5f 54 59 50 45 20 09 58 54 48 41 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 0_TYPE..XTHAL_INTTYPE_EXTERN_EDG
dffe0 45 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 31 5f 54 59 50 45 20 09 58 54 48 41 E.#define.XCHAL_INT21_TYPE..XTHA
e0000 4c 5f 49 4e 54 54 59 50 45 5f 45 58 54 45 52 4e 5f 45 44 47 45 0a 0a 2f 2a 20 20 4d 61 73 6b 73 L_INTTYPE_EXTERN_EDGE../*..Masks
e0020 20 6f 66 20 69 6e 74 65 72 72 75 70 74 73 20 66 6f 72 20 65 61 63 68 20 74 79 70 65 20 6f 66 20 .of.interrupts.for.each.type.of.
e0040 69 6e 74 65 72 72 75 70 74 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 interrupt:..*/.#define.XCHAL_INT
e0060 54 59 50 45 5f 4d 41 53 4b 5f 55 4e 43 4f 4e 46 49 47 55 52 45 44 09 30 78 46 46 43 30 30 30 30 TYPE_MASK_UNCONFIGURED.0xFFC0000
e0080 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 53 4f 46 0.#define.XCHAL_INTTYPE_MASK_SOF
e00a0 54 57 41 52 45 09 30 78 30 30 30 30 30 38 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 TWARE.0x00000880.#define.XCHAL_I
e00c0 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 45 58 54 45 52 4e 5f 45 44 47 45 09 30 78 30 30 33 46 38 30 NTTYPE_MASK_EXTERN_EDGE.0x003F80
e00e0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 45 58 00.#define.XCHAL_INTTYPE_MASK_EX
e0100 54 45 52 4e 5f 4c 45 56 45 4c 09 30 78 30 30 30 30 31 33 33 46 0a 23 64 65 66 69 6e 65 20 58 43 TERN_LEVEL.0x0000133F.#define.XC
e0120 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 54 49 4d 45 52 09 30 78 30 30 30 30 32 34 34 HAL_INTTYPE_MASK_TIMER.0x0000244
e0140 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 4e 4d 49 0.#define.XCHAL_INTTYPE_MASK_NMI
e0160 09 09 30 78 30 30 30 30 34 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 ..0x00004000.#define.XCHAL_INTTY
e0180 50 45 5f 4d 41 53 4b 5f 57 52 49 54 45 5f 45 52 52 4f 52 09 30 78 30 30 30 30 30 30 30 30 0a 23 PE_MASK_WRITE_ERROR.0x00000000.#
e01a0 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 54 59 50 45 5f 4d 41 53 4b 5f 50 52 4f 46 49 4c define.XCHAL_INTTYPE_MASK_PROFIL
e01c0 49 4e 47 09 30 78 30 30 30 30 30 30 30 30 0a 0a 2f 2a 20 20 49 6e 74 65 72 72 75 70 74 20 6e 75 ING.0x00000000../*..Interrupt.nu
e01e0 6d 62 65 72 73 20 61 73 73 69 67 6e 65 64 20 74 6f 20 73 70 65 63 69 66 69 63 20 69 6e 74 65 72 mbers.assigned.to.specific.inter
e0200 72 75 70 74 20 73 6f 75 72 63 65 73 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f rupt.sources:..*/.#define.XCHAL_
e0220 54 49 4d 45 52 30 5f 49 4e 54 45 52 52 55 50 54 09 09 36 09 2f 2a 20 43 43 4f 4d 50 41 52 45 30 TIMER0_INTERRUPT..6./*.CCOMPARE0
e0240 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 49 4d 45 52 31 5f 49 4e 54 45 52 52 55 .*/.#define.XCHAL_TIMER1_INTERRU
e0260 50 54 09 09 31 30 09 2f 2a 20 43 43 4f 4d 50 41 52 45 31 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 PT..10./*.CCOMPARE1.*/.#define.X
e0280 43 48 41 4c 5f 54 49 4d 45 52 32 5f 49 4e 54 45 52 52 55 50 54 09 09 31 33 09 2f 2a 20 43 43 4f CHAL_TIMER2_INTERRUPT..13./*.CCO
e02a0 4d 50 41 52 45 32 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 49 4d 45 52 33 5f 49 MPARE2.*/.#define.XCHAL_TIMER3_I
e02c0 4e 54 45 52 52 55 50 54 09 09 58 54 48 41 4c 5f 54 49 4d 45 52 5f 55 4e 43 4f 4e 46 49 47 55 52 NTERRUPT..XTHAL_TIMER_UNCONFIGUR
e02e0 45 44 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 5f 49 4e 54 45 52 52 55 50 54 09 09 ED.#define.XCHAL_NMI_INTERRUPT..
e0300 31 34 09 2f 2a 20 6e 6f 6e 2d 6d 61 73 6b 61 62 6c 65 20 69 6e 74 65 72 72 75 70 74 20 2a 2f 0a 14./*.non-maskable.interrupt.*/.
e0320 0a 2f 2a 20 20 49 6e 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 73 20 66 6f 72 20 6c 65 76 65 6c ./*..Interrupt.numbers.for.level
e0340 73 20 61 74 20 77 68 69 63 68 20 6f 6e 6c 79 20 6f 6e 65 20 69 6e 74 65 72 72 75 70 74 20 69 73 s.at.which.only.one.interrupt.is
e0360 20 63 6f 6e 66 69 67 75 72 65 64 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 .configured:..*/.#define.XCHAL_I
e0380 4e 54 4c 45 56 45 4c 32 5f 4e 55 4d 09 09 38 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e NTLEVEL2_NUM..8.#define.XCHAL_IN
e03a0 54 4c 45 56 45 4c 34 5f 4e 55 4d 09 09 31 32 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e TLEVEL4_NUM..12.#define.XCHAL_IN
e03c0 54 4c 45 56 45 4c 35 5f 4e 55 4d 09 09 31 33 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e TLEVEL5_NUM..13.#define.XCHAL_IN
e03e0 54 4c 45 56 45 4c 37 5f 4e 55 4d 09 09 31 34 0a 2f 2a 20 20 28 54 68 65 72 65 20 61 72 65 20 6d TLEVEL7_NUM..14./*..(There.are.m
e0400 61 6e 79 20 69 6e 74 65 72 72 75 70 74 73 20 65 61 63 68 20 61 74 20 6c 65 76 65 6c 28 73 29 20 any.interrupts.each.at.level(s).
e0420 31 2c 20 33 2e 29 20 20 2a 2f 0a 0a 0a 2f 2a 0a 20 2a 20 20 45 78 74 65 72 6e 61 6c 20 69 6e 74 1,.3.)..*/.../*..*..External.int
e0440 65 72 72 75 70 74 20 6d 61 70 70 69 6e 67 2e 0a 20 2a 20 20 54 68 65 73 65 20 6d 61 63 72 6f 73 errupt.mapping...*..These.macros
e0460 20 64 65 73 63 72 69 62 65 20 68 6f 77 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 20 69 .describe.how.Xtensa.processor.i
e0480 6e 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 73 0a 20 2a 20 20 28 61 73 20 6e 75 6d 62 65 72 65 nterrupt.numbers..*..(as.numbere
e04a0 64 20 69 6e 74 65 72 6e 61 6c 6c 79 2c 20 65 67 2e 20 69 6e 20 49 4e 54 45 52 52 55 50 54 20 61 d.internally,.eg..in.INTERRUPT.a
e04c0 6e 64 20 49 4e 54 45 4e 41 42 4c 45 20 72 65 67 69 73 74 65 72 73 29 0a 20 2a 20 20 6d 61 70 20 nd.INTENABLE.registers)..*..map.
e04e0 74 6f 20 65 78 74 65 72 6e 61 6c 20 42 49 6e 74 65 72 72 75 70 74 3c 6e 3e 20 70 69 6e 73 2c 20 to.external.BInterrupt<n>.pins,.
e0500 66 6f 72 20 74 68 6f 73 65 20 69 6e 74 65 72 72 75 70 74 73 0a 20 2a 20 20 63 6f 6e 66 69 67 75 for.those.interrupts..*..configu
e0520 72 65 64 20 61 73 20 65 78 74 65 72 6e 61 6c 20 28 6c 65 76 65 6c 2d 74 72 69 67 67 65 72 65 64 red.as.external.(level-triggered
e0540 2c 20 65 64 67 65 2d 74 72 69 67 67 65 72 65 64 2c 20 6f 72 20 4e 4d 49 29 2e 0a 20 2a 20 20 53 ,.edge-triggered,.or.NMI)...*..S
e0560 65 65 20 74 68 65 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 20 64 61 74 61 62 6f 6f 6b ee.the.Xtensa.processor.databook
e0580 20 66 6f 72 20 6d 6f 72 65 20 64 65 74 61 69 6c 73 2e 0a 20 2a 2f 0a 0a 2f 2a 20 20 43 6f 72 65 .for.more.details...*/../*..Core
e05a0 20 69 6e 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 73 20 6d 61 70 70 65 64 20 74 6f 20 65 61 63 .interrupt.numbers.mapped.to.eac
e05c0 68 20 45 58 54 45 52 4e 41 4c 20 42 49 6e 74 65 72 72 75 70 74 20 70 69 6e 20 6e 75 6d 62 65 72 h.EXTERNAL.BInterrupt.pin.number
e05e0 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 30 5f 4e 55 4d 09 :..*/.#define.XCHAL_EXTINT0_NUM.
e0600 09 30 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .0./*.(intlevel.1).*/.#define.XC
e0620 48 41 4c 5f 45 58 54 49 4e 54 31 5f 4e 55 4d 09 09 31 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT1_NUM..1./*.(intlevel.
e0640 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 32 5f 4e 55 4d 09 1).*/.#define.XCHAL_EXTINT2_NUM.
e0660 09 32 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .2./*.(intlevel.1).*/.#define.XC
e0680 48 41 4c 5f 45 58 54 49 4e 54 33 5f 4e 55 4d 09 09 33 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT3_NUM..3./*.(intlevel.
e06a0 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 34 5f 4e 55 4d 09 1).*/.#define.XCHAL_EXTINT4_NUM.
e06c0 09 34 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .4./*.(intlevel.1).*/.#define.XC
e06e0 48 41 4c 5f 45 58 54 49 4e 54 35 5f 4e 55 4d 09 09 35 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT5_NUM..5./*.(intlevel.
e0700 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 36 5f 4e 55 4d 09 1).*/.#define.XCHAL_EXTINT6_NUM.
e0720 09 38 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 32 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .8./*.(intlevel.2).*/.#define.XC
e0740 48 41 4c 5f 45 58 54 49 4e 54 37 5f 4e 55 4d 09 09 39 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 HAL_EXTINT7_NUM..9./*.(intlevel.
e0760 33 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 38 5f 4e 55 4d 09 3).*/.#define.XCHAL_EXTINT8_NUM.
e0780 09 31 32 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 34 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 .12./*.(intlevel.4).*/.#define.X
e07a0 43 48 41 4c 5f 45 58 54 49 4e 54 39 5f 4e 55 4d 09 09 31 34 09 2f 2a 20 28 69 6e 74 6c 65 76 65 CHAL_EXTINT9_NUM..14./*.(intleve
e07c0 6c 20 37 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 30 5f 4e l.7).*/.#define.XCHAL_EXTINT10_N
e07e0 55 4d 09 09 31 35 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e UM..15./*.(intlevel.1).*/.#defin
e0800 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 31 5f 4e 55 4d 09 09 31 36 09 2f 2a 20 28 69 6e 74 e.XCHAL_EXTINT11_NUM..16./*.(int
e0820 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 level.1).*/.#define.XCHAL_EXTINT
e0840 31 32 5f 4e 55 4d 09 09 31 37 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 12_NUM..17./*.(intlevel.1).*/.#d
e0860 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 33 5f 4e 55 4d 09 09 31 38 09 2f 2a 20 efine.XCHAL_EXTINT13_NUM..18./*.
e0880 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 (intlevel.1).*/.#define.XCHAL_EX
e08a0 54 49 4e 54 31 34 5f 4e 55 4d 09 09 31 39 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a TINT14_NUM..19./*.(intlevel.1).*
e08c0 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 45 58 54 49 4e 54 31 35 5f 4e 55 4d 09 09 32 30 /.#define.XCHAL_EXTINT15_NUM..20
e08e0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
e0900 4c 5f 45 58 54 49 4e 54 31 36 5f 4e 55 4d 09 09 32 31 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 L_EXTINT16_NUM..21./*.(intlevel.
e0920 33 29 20 2a 2f 0a 2f 2a 20 20 45 58 54 45 52 4e 41 4c 20 42 49 6e 74 65 72 72 75 70 74 20 70 69 3).*/./*..EXTERNAL.BInterrupt.pi
e0940 6e 20 6e 75 6d 62 65 72 73 20 6d 61 70 70 65 64 20 74 6f 20 65 61 63 68 20 63 6f 72 65 20 69 6e n.numbers.mapped.to.each.core.in
e0960 74 65 72 72 75 70 74 20 6e 75 6d 62 65 72 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 terrupt.number:..*/.#define.XCHA
e0980 4c 5f 49 4e 54 30 5f 45 58 54 4e 55 4d 09 09 30 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 L_INT0_EXTNUM..0./*.(intlevel.1)
e09a0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 5f 45 58 54 4e 55 4d 09 09 31 .*/.#define.XCHAL_INT1_EXTNUM..1
e09c0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
e09e0 4c 5f 49 4e 54 32 5f 45 58 54 4e 55 4d 09 09 32 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 L_INT2_EXTNUM..2./*.(intlevel.1)
e0a00 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 33 5f 45 58 54 4e 55 4d 09 09 33 .*/.#define.XCHAL_INT3_EXTNUM..3
e0a20 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
e0a40 4c 5f 49 4e 54 34 5f 45 58 54 4e 55 4d 09 09 34 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 L_INT4_EXTNUM..4./*.(intlevel.1)
e0a60 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 35 5f 45 58 54 4e 55 4d 09 09 35 .*/.#define.XCHAL_INT5_EXTNUM..5
e0a80 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.1).*/.#define.XCHA
e0aa0 4c 5f 49 4e 54 38 5f 45 58 54 4e 55 4d 09 09 36 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 32 29 L_INT8_EXTNUM..6./*.(intlevel.2)
e0ac0 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 39 5f 45 58 54 4e 55 4d 09 09 37 .*/.#define.XCHAL_INT9_EXTNUM..7
e0ae0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 33 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 ./*.(intlevel.3).*/.#define.XCHA
e0b00 4c 5f 49 4e 54 31 32 5f 45 58 54 4e 55 4d 09 09 38 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 34 L_INT12_EXTNUM..8./*.(intlevel.4
e0b20 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 34 5f 45 58 54 4e 55 4d 09 ).*/.#define.XCHAL_INT14_EXTNUM.
e0b40 09 39 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 37 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 .9./*.(intlevel.7).*/.#define.XC
e0b60 48 41 4c 5f 49 4e 54 31 35 5f 45 58 54 4e 55 4d 09 09 31 30 09 2f 2a 20 28 69 6e 74 6c 65 76 65 HAL_INT15_EXTNUM..10./*.(intleve
e0b80 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 36 5f 45 58 54 4e l.1).*/.#define.XCHAL_INT16_EXTN
e0ba0 55 4d 09 09 31 31 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e UM..11./*.(intlevel.1).*/.#defin
e0bc0 65 20 58 43 48 41 4c 5f 49 4e 54 31 37 5f 45 58 54 4e 55 4d 09 09 31 32 09 2f 2a 20 28 69 6e 74 e.XCHAL_INT17_EXTNUM..12./*.(int
e0be0 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 38 5f level.1).*/.#define.XCHAL_INT18_
e0c00 45 58 54 4e 55 4d 09 09 31 33 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 EXTNUM..13./*.(intlevel.1).*/.#d
e0c20 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 31 39 5f 45 58 54 4e 55 4d 09 09 31 34 09 2f 2a 20 efine.XCHAL_INT19_EXTNUM..14./*.
e0c40 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e (intlevel.1).*/.#define.XCHAL_IN
e0c60 54 32 30 5f 45 58 54 4e 55 4d 09 09 31 35 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 31 29 20 2a T20_EXTNUM..15./*.(intlevel.1).*
e0c80 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 32 31 5f 45 58 54 4e 55 4d 09 09 31 36 /.#define.XCHAL_INT21_EXTNUM..16
e0ca0 09 2f 2a 20 28 69 6e 74 6c 65 76 65 6c 20 33 29 20 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d 2d ./*.(intlevel.3).*/.../*--------
e0cc0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
e0ce0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a 09 ------------------------------..
e0d00 09 09 45 58 43 45 50 54 49 4f 4e 53 20 61 6e 64 20 56 45 43 54 4f 52 53 0a 20 20 2d 2d 2d 2d 2d ..EXCEPTIONS.and.VECTORS...-----
e0d20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
e0d40 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
e0d60 2d 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 58 45 41 5f 56 45 52 53 49 4f 4e 09 09 -*/..#define.XCHAL_XEA_VERSION..
e0d80 32 09 2f 2a 20 58 74 65 6e 73 61 20 45 78 63 65 70 74 69 6f 6e 20 41 72 63 68 69 74 65 63 74 75 2./*.Xtensa.Exception.Architectu
e0da0 72 65 0a 09 09 09 09 09 09 20 20 20 6e 75 6d 62 65 72 3a 20 31 20 3d 3d 20 58 45 41 31 20 28 6f re..........number:.1.==.XEA1.(o
e0dc0 6c 64 29 0a 09 09 09 09 09 09 09 20 20 20 32 20 3d 3d 20 58 45 41 32 20 28 6e 65 77 29 0a 09 09 ld)...........2.==.XEA2.(new)...
e0de0 09 09 09 09 09 20 20 20 30 20 3d 3d 20 58 45 41 58 20 28 65 78 74 65 72 6e 29 20 6f 72 20 54 58 ........0.==.XEAX.(extern).or.TX
e0e00 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 45 41 31 09 09 09 30 09 .*/.#define.XCHAL_HAVE_XEA1...0.
e0e20 2f 2a 20 45 78 63 65 70 74 69 6f 6e 20 41 72 63 68 69 74 65 63 74 75 72 65 20 31 20 2a 2f 0a 23 /*.Exception.Architecture.1.*/.#
e0e40 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 45 41 32 09 09 09 31 09 2f 2a 20 45 78 define.XCHAL_HAVE_XEA2...1./*.Ex
e0e60 63 65 70 74 69 6f 6e 20 41 72 63 68 69 74 65 63 74 75 72 65 20 32 20 2a 2f 0a 23 64 65 66 69 6e ception.Architecture.2.*/.#defin
e0e80 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 45 41 58 09 09 09 30 09 2f 2a 20 45 78 74 65 72 6e 61 e.XCHAL_HAVE_XEAX...0./*.Externa
e0ea0 6c 20 45 78 63 65 70 74 69 6f 6e 20 41 72 63 68 2e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 l.Exception.Arch..*/.#define.XCH
e0ec0 41 4c 5f 48 41 56 45 5f 45 58 43 45 50 54 49 4f 4e 53 09 09 31 09 2f 2a 20 65 78 63 65 70 74 69 AL_HAVE_EXCEPTIONS..1./*.excepti
e0ee0 6f 6e 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f on.option.*/.#define.XCHAL_HAVE_
e0f00 48 41 4c 54 09 09 09 30 09 2f 2a 20 68 61 6c 74 20 61 72 63 68 69 74 65 63 74 75 72 65 20 6f 70 HALT...0./*.halt.architecture.op
e0f20 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 42 4f 4f 54 4c tion.*/.#define.XCHAL_HAVE_BOOTL
e0f40 4f 41 44 45 52 09 09 30 09 2f 2a 20 62 6f 6f 74 20 6c 6f 61 64 65 72 20 28 66 6f 72 20 54 58 29 OADER..0./*.boot.loader.(for.TX)
e0f60 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 45 4d 5f 45 43 43 5f 50 .*/.#define.XCHAL_HAVE_MEM_ECC_P
e0f80 41 52 49 54 59 09 30 09 2f 2a 20 6c 6f 63 61 6c 20 6d 65 6d 6f 72 79 20 45 43 43 2f 70 61 72 69 ARITY.0./*.local.memory.ECC/pari
e0fa0 74 79 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 54 4f 52 5f ty.*/.#define.XCHAL_HAVE_VECTOR_
e0fc0 53 45 4c 45 43 54 09 31 09 2f 2a 20 72 65 6c 6f 63 61 74 61 62 6c 65 20 76 65 63 74 6f 72 73 20 SELECT.1./*.relocatable.vectors.
e0fe0 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 56 45 43 42 41 53 45 09 09 31 */.#define.XCHAL_HAVE_VECBASE..1
e1000 09 2f 2a 20 72 65 6c 6f 63 61 74 61 62 6c 65 20 76 65 63 74 6f 72 73 20 2a 2f 0a 23 64 65 66 69 ./*.relocatable.vectors.*/.#defi
e1020 6e 65 20 58 43 48 41 4c 5f 56 45 43 42 41 53 45 5f 52 45 53 45 54 5f 56 41 44 44 52 09 30 78 30 ne.XCHAL_VECBASE_RESET_VADDR.0x0
e1040 30 30 30 32 30 30 30 20 20 2f 2a 20 56 45 43 42 41 53 45 20 72 65 73 65 74 20 76 61 6c 75 65 20 0002000../*.VECBASE.reset.value.
e1060 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 56 45 43 42 41 53 45 5f 52 45 53 45 54 5f 50 */.#define.XCHAL_VECBASE_RESET_P
e1080 41 44 44 52 09 30 78 30 30 30 30 32 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 ADDR.0x00002000.#define.XCHAL_RE
e10a0 53 45 54 5f 56 45 43 42 41 53 45 5f 4f 56 45 52 4c 41 50 09 30 0a 0a 23 64 65 66 69 6e 65 20 58 SET_VECBASE_OVERLAP.0..#define.X
e10c0 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 30 5f 56 41 44 44 52 09 30 78 46 45 30 30 30 CHAL_RESET_VECTOR0_VADDR.0xFE000
e10e0 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 30 5f 000.#define.XCHAL_RESET_VECTOR0_
e1100 50 41 44 44 52 09 30 78 46 45 30 30 30 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 PADDR.0xFE000000.#define.XCHAL_R
e1120 45 53 45 54 5f 56 45 43 54 4f 52 31 5f 56 41 44 44 52 09 30 78 30 30 30 30 31 30 30 30 0a 23 64 ESET_VECTOR1_VADDR.0x00001000.#d
e1140 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 31 5f 50 41 44 44 52 09 efine.XCHAL_RESET_VECTOR1_PADDR.
e1160 30 78 30 30 30 30 31 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 52 45 53 45 54 5f 56 0x00001000.#define.XCHAL_RESET_V
e1180 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 46 45 30 30 30 30 30 30 0a 23 64 65 66 69 6e 65 20 58 ECTOR_VADDR.0xFE000000.#define.X
e11a0 43 48 41 4c 5f 52 45 53 45 54 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 46 45 30 30 30 30 CHAL_RESET_VECTOR_PADDR.0xFE0000
e11c0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 53 45 52 5f 56 45 43 4f 46 53 09 09 30 78 00.#define.XCHAL_USER_VECOFS..0x
e11e0 30 30 30 30 30 33 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 55 53 45 52 5f 56 45 43 54 00000340.#define.XCHAL_USER_VECT
e1200 4f 52 5f 56 41 44 44 52 09 09 30 78 30 30 30 30 32 33 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 OR_VADDR..0x00002340.#define.XCH
e1220 41 4c 5f 55 53 45 52 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 09 30 78 30 30 30 30 32 33 34 30 AL_USER_VECTOR_PADDR..0x00002340
e1240 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4b 45 52 4e 45 4c 5f 56 45 43 4f 46 53 09 09 30 78 .#define.XCHAL_KERNEL_VECOFS..0x
e1260 30 30 30 30 30 33 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4b 45 52 4e 45 4c 5f 56 45 00000300.#define.XCHAL_KERNEL_VE
e1280 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 33 30 30 0a 23 64 65 66 69 6e 65 20 58 43 CTOR_VADDR.0x00002300.#define.XC
e12a0 48 41 4c 5f 4b 45 52 4e 45 4c 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 33 HAL_KERNEL_VECTOR_PADDR.0x000023
e12c0 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 4f 55 42 4c 45 45 58 43 5f 56 45 43 4f 46 00.#define.XCHAL_DOUBLEEXC_VECOF
e12e0 53 09 09 30 78 30 30 30 30 30 33 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 4f 55 42 S..0x000003C0.#define.XCHAL_DOUB
e1300 4c 45 45 58 43 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 33 43 30 0a 23 64 LEEXC_VECTOR_VADDR.0x000023C0.#d
e1320 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 4f 55 42 4c 45 45 58 43 5f 56 45 43 54 4f 52 5f 50 41 44 efine.XCHAL_DOUBLEEXC_VECTOR_PAD
e1340 44 52 09 30 78 30 30 30 30 32 33 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 DR.0x000023C0.#define.XCHAL_WIND
e1360 4f 57 5f 4f 46 34 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 30 30 30 0a 23 64 65 66 69 6e OW_OF4_VECOFS..0x00000000.#defin
e1380 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 55 46 34 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 e.XCHAL_WINDOW_UF4_VECOFS..0x000
e13a0 30 30 30 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 4f 46 38 5f 56 00040.#define.XCHAL_WINDOW_OF8_V
e13c0 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 30 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f ECOFS..0x00000080.#define.XCHAL_
e13e0 57 49 4e 44 4f 57 5f 55 46 38 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 30 43 30 0a 23 64 WINDOW_UF8_VECOFS..0x000000C0.#d
e1400 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 4f 46 31 32 5f 56 45 43 4f 46 53 09 30 efine.XCHAL_WINDOW_OF12_VECOFS.0
e1420 78 30 30 30 30 30 31 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 55 x00000100.#define.XCHAL_WINDOW_U
e1440 46 31 32 5f 56 45 43 4f 46 53 09 30 78 30 30 30 30 30 31 34 30 0a 23 64 65 66 69 6e 65 20 58 43 F12_VECOFS.0x00000140.#define.XC
e1460 48 41 4c 5f 57 49 4e 44 4f 57 5f 56 45 43 54 4f 52 53 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 HAL_WINDOW_VECTORS_VADDR.0x00002
e1480 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 57 49 4e 44 4f 57 5f 56 45 43 54 4f 52 53 000.#define.XCHAL_WINDOW_VECTORS
e14a0 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 30 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f _PADDR.0x00002000.#define.XCHAL_
e14c0 49 4e 54 4c 45 56 45 4c 32 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 31 38 30 0a 23 64 65 INTLEVEL2_VECOFS..0x00000180.#de
e14e0 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 32 5f 56 45 43 54 4f 52 5f 56 41 44 44 fine.XCHAL_INTLEVEL2_VECTOR_VADD
e1500 52 09 30 78 30 30 30 30 32 31 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 R.0x00002180.#define.XCHAL_INTLE
e1520 56 45 4c 32 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 31 38 30 0a 23 64 65 VEL2_VECTOR_PADDR.0x00002180.#de
e1540 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 56 45 43 4f 46 53 09 09 30 78 30 fine.XCHAL_INTLEVEL3_VECOFS..0x0
e1560 30 30 30 30 31 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 00001C0.#define.XCHAL_INTLEVEL3_
e1580 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 31 43 30 0a 23 64 65 66 69 6e 65 20 VECTOR_VADDR.0x000021C0.#define.
e15a0 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 33 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 XCHAL_INTLEVEL3_VECTOR_PADDR.0x0
e15c0 30 30 30 32 31 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 34 5f 00021C0.#define.XCHAL_INTLEVEL4_
e15e0 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 32 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c VECOFS..0x00000200.#define.XCHAL
e1600 5f 49 4e 54 4c 45 56 45 4c 34 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 32 _INTLEVEL4_VECTOR_VADDR.0x000022
e1620 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 34 5f 56 45 43 54 4f 00.#define.XCHAL_INTLEVEL4_VECTO
e1640 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 32 30 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c R_PADDR.0x00002200.#define.XCHAL
e1660 5f 49 4e 54 4c 45 56 45 4c 35 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 32 34 30 0a 23 64 _INTLEVEL5_VECOFS..0x00000240.#d
e1680 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 35 5f 56 45 43 54 4f 52 5f 56 41 44 efine.XCHAL_INTLEVEL5_VECTOR_VAD
e16a0 44 52 09 30 78 30 30 30 30 32 32 34 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c DR.0x00002240.#define.XCHAL_INTL
e16c0 45 56 45 4c 35 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 30 30 30 30 32 32 34 30 0a 23 64 EVEL5_VECTOR_PADDR.0x00002240.#d
e16e0 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 4f 46 53 09 09 30 78 efine.XCHAL_INTLEVEL6_VECOFS..0x
e1700 30 30 30 30 30 32 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 00000280.#define.XCHAL_INTLEVEL6
e1720 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 30 78 30 30 30 30 32 32 38 30 0a 23 64 65 66 69 6e 65 _VECTOR_VADDR.0x00002280.#define
e1740 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 30 78 .XCHAL_INTLEVEL6_VECTOR_PADDR.0x
e1760 30 30 30 30 32 32 38 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 5f 56 45 43 00002280.#define.XCHAL_DEBUG_VEC
e1780 4f 46 53 09 09 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 4f 46 53 0a 23 64 65 66 OFS..XCHAL_INTLEVEL6_VECOFS.#def
e17a0 69 6e 65 20 58 43 48 41 4c 5f 44 45 42 55 47 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 58 43 48 ine.XCHAL_DEBUG_VECTOR_VADDR.XCH
e17c0 41 4c 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 0a 23 64 65 66 69 6e AL_INTLEVEL6_VECTOR_VADDR.#defin
e17e0 65 20 58 43 48 41 4c 5f 44 45 42 55 47 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 09 58 43 48 41 4c e.XCHAL_DEBUG_VECTOR_PADDR.XCHAL
e1800 5f 49 4e 54 4c 45 56 45 4c 36 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 0a 23 64 65 66 69 6e 65 20 _INTLEVEL6_VECTOR_PADDR.#define.
e1820 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 4f 46 53 09 09 30 78 30 30 30 30 30 32 43 30 0a 23 64 65 XCHAL_NMI_VECOFS..0x000002C0.#de
e1840 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 09 09 30 78 30 fine.XCHAL_NMI_VECTOR_VADDR..0x0
e1860 30 30 30 32 32 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 00022C0.#define.XCHAL_NMI_VECTOR
e1880 5f 50 41 44 44 52 09 09 30 78 30 30 30 30 32 32 43 30 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c _PADDR..0x000022C0.#define.XCHAL
e18a0 5f 49 4e 54 4c 45 56 45 4c 37 5f 56 45 43 4f 46 53 09 09 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 _INTLEVEL7_VECOFS..XCHAL_NMI_VEC
e18c0 4f 46 53 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 56 45 43 54 OFS.#define.XCHAL_INTLEVEL7_VECT
e18e0 4f 52 5f 56 41 44 44 52 09 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 5f 56 41 44 44 52 0a OR_VADDR.XCHAL_NMI_VECTOR_VADDR.
e1900 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 4e 54 4c 45 56 45 4c 37 5f 56 45 43 54 4f 52 5f 50 #define.XCHAL_INTLEVEL7_VECTOR_P
e1920 41 44 44 52 09 58 43 48 41 4c 5f 4e 4d 49 5f 56 45 43 54 4f 52 5f 50 41 44 44 52 0a 0a 0a 2f 2a ADDR.XCHAL_NMI_VECTOR_PADDR.../*
e1940 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
e1960 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
e1980 2d 2d 2d 2d 2d 2d 0a 09 09 09 09 44 45 42 55 47 20 4d 4f 44 55 4c 45 0a 20 20 2d 2d 2d 2d 2d 2d ------.....DEBUG.MODULE...------
e19a0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
e19c0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
e19e0 2a 2f 0a 0a 2f 2a 20 20 4d 69 73 63 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 */../*..Misc..*/.#define.XCHAL_H
e1a00 41 56 45 5f 44 45 42 55 47 5f 45 52 49 09 09 30 09 2f 2a 20 45 52 49 20 74 6f 20 64 65 62 75 67 AVE_DEBUG_ERI..0./*.ERI.to.debug
e1a20 20 6d 6f 64 75 6c 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 .module.*/.#define.XCHAL_HAVE_DE
e1a40 42 55 47 5f 41 50 42 09 09 30 09 2f 2a 20 41 50 42 20 74 6f 20 64 65 62 75 67 20 6d 6f 64 75 6c BUG_APB..0./*.APB.to.debug.modul
e1a60 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 44 45 42 55 47 5f 4a 54 e.*/.#define.XCHAL_HAVE_DEBUG_JT
e1a80 41 47 09 09 31 09 2f 2a 20 4a 54 41 47 20 74 6f 20 64 65 62 75 67 20 6d 6f 64 75 6c 65 20 2a 2f AG..1./*.JTAG.to.debug.module.*/
e1aa0 0a 0a 2f 2a 20 20 4f 6e 2d 43 68 69 70 20 44 65 62 75 67 20 28 4f 43 44 29 20 20 2a 2f 0a 23 64 ../*..On-Chip.Debug.(OCD)..*/.#d
e1ac0 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4f 43 44 09 09 09 31 09 2f 2a 20 4f 6e 43 68 efine.XCHAL_HAVE_OCD...1./*.OnCh
e1ae0 69 70 44 65 62 75 67 20 6f 70 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f ipDebug.option.*/.#define.XCHAL_
e1b00 4e 55 4d 5f 49 42 52 45 41 4b 09 09 32 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 49 42 52 45 41 NUM_IBREAK..2./*.number.of.IBREA
e1b20 4b 6e 20 72 65 67 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4e 55 4d 5f 44 42 52 Kn.regs.*/.#define.XCHAL_NUM_DBR
e1b40 45 41 4b 09 09 32 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 44 42 52 45 41 4b 6e 20 72 65 67 73 EAK..2./*.number.of.DBREAKn.regs
e1b60 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4f 43 44 5f 44 49 52 5f 41 .*/.#define.XCHAL_HAVE_OCD_DIR_A
e1b80 52 52 41 59 09 30 09 2f 2a 20 66 61 73 74 65 72 20 4f 43 44 20 6f 70 74 69 6f 6e 20 28 74 6f 20 RRAY.0./*.faster.OCD.option.(to.
e1ba0 4c 58 34 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4f 43 44 5f 4c LX4).*/.#define.XCHAL_HAVE_OCD_L
e1bc0 53 33 32 44 44 52 09 09 30 09 2f 2a 20 4c 33 32 44 44 52 2f 53 33 32 44 44 52 20 28 66 61 73 74 S32DDR..0./*.L32DDR/S32DDR.(fast
e1be0 65 72 20 4f 43 44 29 20 2a 2f 0a 0a 2f 2a 20 20 54 52 41 58 20 28 69 6e 20 63 6f 72 65 29 20 20 er.OCD).*/../*..TRAX.(in.core)..
e1c00 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 54 52 41 58 09 09 09 30 09 2f */.#define.XCHAL_HAVE_TRAX...0./
e1c20 2a 20 54 52 41 58 20 69 6e 20 64 65 62 75 67 20 6d 6f 64 75 6c 65 20 2a 2f 0a 23 64 65 66 69 6e *.TRAX.in.debug.module.*/.#defin
e1c40 65 20 58 43 48 41 4c 5f 54 52 41 58 5f 4d 45 4d 5f 53 49 5a 45 09 09 30 09 2f 2a 20 54 52 41 58 e.XCHAL_TRAX_MEM_SIZE..0./*.TRAX
e1c60 20 6d 65 6d 6f 72 79 20 73 69 7a 65 20 69 6e 20 62 79 74 65 73 20 2a 2f 0a 23 64 65 66 69 6e 65 .memory.size.in.bytes.*/.#define
e1c80 20 58 43 48 41 4c 5f 54 52 41 58 5f 4d 45 4d 5f 53 48 41 52 45 41 42 4c 45 09 30 09 2f 2a 20 73 .XCHAL_TRAX_MEM_SHAREABLE.0./*.s
e1ca0 74 61 72 74 2f 65 6e 64 20 72 65 67 73 3b 20 72 65 61 64 79 20 73 69 67 2e 20 2a 2f 0a 23 64 65 tart/end.regs;.ready.sig..*/.#de
e1cc0 66 69 6e 65 20 58 43 48 41 4c 5f 54 52 41 58 5f 41 54 42 5f 57 49 44 54 48 09 09 30 09 2f 2a 20 fine.XCHAL_TRAX_ATB_WIDTH..0./*.
e1ce0 41 54 42 20 77 69 64 74 68 20 28 62 69 74 73 29 2c 20 30 3d 6e 6f 20 41 54 42 20 2a 2f 0a 23 64 ATB.width.(bits),.0=no.ATB.*/.#d
e1d00 65 66 69 6e 65 20 58 43 48 41 4c 5f 54 52 41 58 5f 54 49 4d 45 5f 57 49 44 54 48 09 09 30 09 2f efine.XCHAL_TRAX_TIME_WIDTH..0./
e1d20 2a 20 74 69 6d 65 73 74 61 6d 70 20 62 69 74 77 69 64 74 68 2c 20 30 3d 6e 6f 6e 65 20 2a 2f 0a *.timestamp.bitwidth,.0=none.*/.
e1d40 0a 2f 2a 20 20 50 65 72 66 20 63 6f 75 6e 74 65 72 73 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 ./*..Perf.counters..*/.#define.X
e1d60 43 48 41 4c 5f 4e 55 4d 5f 50 45 52 46 5f 43 4f 55 4e 54 45 52 53 09 09 30 09 2f 2a 20 70 65 72 CHAL_NUM_PERF_COUNTERS..0./*.per
e1d80 66 6f 72 6d 61 6e 63 65 20 63 6f 75 6e 74 65 72 73 20 2a 2f 0a 0a 0a 2f 2a 2d 2d 2d 2d 2d 2d 2d formance.counters.*/.../*-------
e1da0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
e1dc0 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 0a -------------------------------.
e1de0 09 09 09 09 4d 4d 55 0a 20 20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d ....MMU...----------------------
e1e00 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d --------------------------------
e1e20 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2d 2a 2f 0a 0a 2f 2a 20 20 53 65 65 20 63 6f 72 65 ----------------*/../*..See.core
e1e40 2d 6d 61 74 6d 61 70 2e 68 20 68 65 61 64 65 72 20 66 69 6c 65 20 66 6f 72 20 6d 6f 72 65 20 64 -matmap.h.header.file.for.more.d
e1e60 65 74 61 69 6c 73 2e 20 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f etails...*/..#define.XCHAL_HAVE_
e1e80 54 4c 42 53 09 09 09 31 09 2f 2a 20 69 6e 76 65 72 73 65 20 6f 66 20 48 41 56 45 5f 43 41 43 48 TLBS...1./*.inverse.of.HAVE_CACH
e1ea0 45 41 54 54 52 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 53 50 41 4e EATTR.*/.#define.XCHAL_HAVE_SPAN
e1ec0 4e 49 4e 47 5f 57 41 59 09 09 31 09 2f 2a 20 6f 6e 65 20 77 61 79 20 6d 61 70 73 20 49 2b 44 20 NING_WAY..1./*.one.way.maps.I+D.
e1ee0 34 47 42 20 76 61 64 64 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 53 50 41 4e 4e 4GB.vaddr.*/.#define.XCHAL_SPANN
e1f00 49 4e 47 5f 57 41 59 09 09 36 09 2f 2a 20 54 4c 42 20 73 70 61 6e 6e 69 6e 67 20 77 61 79 20 6e ING_WAY..6./*.TLB.spanning.way.n
e1f20 75 6d 62 65 72 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 49 44 45 4e umber.*/.#define.XCHAL_HAVE_IDEN
e1f40 54 49 54 59 5f 4d 41 50 09 09 30 09 2f 2a 20 76 61 64 64 72 20 3d 3d 20 70 61 64 64 72 20 61 6c TITY_MAP..0./*.vaddr.==.paddr.al
e1f60 77 61 79 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 43 41 43 48 45 ways.*/.#define.XCHAL_HAVE_CACHE
e1f80 41 54 54 52 09 09 30 09 2f 2a 20 43 41 43 48 45 41 54 54 52 20 72 65 67 69 73 74 65 72 20 70 72 ATTR..0./*.CACHEATTR.register.pr
e1fa0 65 73 65 6e 74 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 4d 49 4d 49 esent.*/.#define.XCHAL_HAVE_MIMI
e1fc0 43 5f 43 41 43 48 45 41 54 54 52 09 30 09 2f 2a 20 72 65 67 69 6f 6e 20 70 72 6f 74 65 63 74 69 C_CACHEATTR.0./*.region.protecti
e1fe0 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 58 4c 54 5f 43 41 43 on.*/.#define.XCHAL_HAVE_XLT_CAC
e2000 48 45 41 54 54 52 09 30 09 2f 2a 20 72 65 67 69 6f 6e 20 70 72 6f 74 2e 20 77 2f 74 72 61 6e 73 HEATTR.0./*.region.prot..w/trans
e2020 6c 61 74 69 6f 6e 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 48 41 56 45 5f 50 54 50 lation.*/.#define.XCHAL_HAVE_PTP
e2040 5f 4d 4d 55 09 09 31 09 2f 2a 20 66 75 6c 6c 20 4d 4d 55 20 28 77 69 74 68 20 70 61 67 65 20 74 _MMU..1./*.full.MMU.(with.page.t
e2060 61 62 6c 65 0a 09 09 09 09 09 09 20 20 20 5b 61 75 74 6f 72 65 66 69 6c 6c 5d 20 61 6e 64 20 70 able..........[autorefill].and.p
e2080 72 6f 74 65 63 74 69 6f 6e 29 0a 09 09 09 09 09 09 20 20 20 75 73 61 62 6c 65 20 66 6f 72 20 61 rotection)..........usable.for.a
e20a0 6e 20 4d 4d 55 2d 62 61 73 65 64 20 4f 53 20 2a 2f 0a 2f 2a 20 20 49 66 20 6e 6f 6e 65 20 6f 66 n.MMU-based.OS.*/./*..If.none.of
e20c0 20 74 68 65 20 61 62 6f 76 65 20 6c 61 73 74 20 34 20 61 72 65 20 73 65 74 2c 20 69 74 27 73 20 .the.above.last.4.are.set,.it's.
e20e0 61 20 63 75 73 74 6f 6d 20 54 4c 42 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 2e 20 20 2a 2f 0a a.custom.TLB.configuration...*/.
e2100 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 49 54 4c 42 5f 41 52 46 5f 45 4e 54 52 49 45 53 5f 4c #define.XCHAL_ITLB_ARF_ENTRIES_L
e2120 4f 47 32 09 32 09 2f 2a 20 6c 6f 67 32 28 61 75 74 6f 72 65 66 69 6c 6c 20 77 61 79 20 73 69 7a OG2.2./*.log2(autorefill.way.siz
e2140 65 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 44 54 4c 42 5f 41 52 46 5f 45 4e 54 e).*/.#define.XCHAL_DTLB_ARF_ENT
e2160 52 49 45 53 5f 4c 4f 47 32 09 32 09 2f 2a 20 6c 6f 67 32 28 61 75 74 6f 72 65 66 69 6c 6c 20 77 RIES_LOG2.2./*.log2(autorefill.w
e2180 61 79 20 73 69 7a 65 29 20 2a 2f 0a 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 41 ay.size).*/..#define.XCHAL_MMU_A
e21a0 53 49 44 5f 42 49 54 53 09 09 38 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 62 69 74 73 20 69 6e SID_BITS..8./*.number.of.bits.in
e21c0 20 41 53 49 44 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 52 49 4e 47 .ASIDs.*/.#define.XCHAL_MMU_RING
e21e0 53 09 09 09 34 09 2f 2a 20 6e 75 6d 62 65 72 20 6f 66 20 72 69 6e 67 73 20 28 31 2e 2e 34 29 20 S...4./*.number.of.rings.(1..4).
e2200 2a 2f 0a 23 64 65 66 69 6e 65 20 58 43 48 41 4c 5f 4d 4d 55 5f 52 49 4e 47 5f 42 49 54 53 09 09 */.#define.XCHAL_MMU_RING_BITS..
e2220 32 09 2f 2a 20 6e 75 6d 20 6f 66 20 62 69 74 73 20 69 6e 20 52 49 4e 47 20 66 69 65 6c 64 20 2a 2./*.num.of.bits.in.RING.field.*
e2240 2f 0a 0a 23 65 6e 64 69 66 20 2f 2a 20 21 58 54 45 4e 53 41 5f 48 41 4c 5f 4e 4f 4e 5f 50 52 49 /..#endif./*.!XTENSA_HAL_NON_PRI
e2260 56 49 4c 45 47 45 44 5f 4f 4e 4c 59 20 2a 2f 0a 0a 0a 23 65 6e 64 69 66 20 2f 2a 20 5f 58 54 45 VILEGED_ONLY.*/...#endif./*._XTE
e2280 4e 53 41 5f 43 4f 52 45 5f 43 4f 4e 46 49 47 55 52 41 54 49 4f 4e 5f 48 20 2a 2f 0a 0a 00 00 00 NSA_CORE_CONFIGURATION_H.*/.....
e22a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e22c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e22e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e23a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e23c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e23e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2400 75 2d 62 6f 6f 74 2f 61 72 63 68 2f 78 74 65 6e 73 61 2f 69 6e 63 6c 75 64 65 2f 61 73 6d 2f 61 u-boot/arch/xtensa/include/asm/a
e2420 72 63 68 2d 64 63 32 33 33 63 5f 63 61 6c 6c 30 2f 74 69 65 2d 61 73 6d 2e 68 00 00 00 00 00 00 rch-dc233c_call0/tie-asm.h......
e2440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2460 00 00 00 00 30 30 30 30 36 34 34 00 30 30 30 30 37 36 34 00 30 30 30 30 37 36 34 00 30 30 30 30 ....0000644.0000764.0000764.0000
e2480 30 30 32 31 34 37 34 00 31 33 30 31 33 34 32 31 34 36 37 00 30 32 33 35 33 31 00 20 30 00 00 00 0021474.13013421467.023531..0...
e24a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e24c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e24e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2500 00 75 73 74 61 72 20 20 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .ustar...jcmvbkbc...............
e2520 00 00 00 00 00 00 00 00 00 6a 63 6d 76 62 6b 62 63 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 .........jcmvbkbc...............
e2540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e25a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e25c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e25e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e2600 2f 2a 20 0a 20 2a 20 74 69 65 2d 61 73 6d 2e 68 20 2d 2d 20 63 6f 6d 70 69 6c 65 2d 74 69 6d 65 /*...*.tie-asm.h.--.compile-time
e2620 20 48 41 4c 20 61 73 73 65 6d 62 6c 65 72 20 64 65 66 69 6e 69 74 69 6f 6e 73 20 64 65 70 65 6e .HAL.assembler.definitions.depen
e2640 64 65 6e 74 20 6f 6e 20 43 4f 52 45 20 26 20 54 49 45 0a 20 2a 0a 20 2a 20 20 4e 4f 54 45 3a 20 dent.on.CORE.&.TIE..*..*..NOTE:.
e2660 20 54 68 69 73 20 68 65 61 64 65 72 20 66 69 6c 65 20 69 73 20 6e 6f 74 20 6d 65 61 6e 74 20 74 .This.header.file.is.not.meant.t
e2680 6f 20 62 65 20 69 6e 63 6c 75 64 65 64 20 64 69 72 65 63 74 6c 79 2e 0a 20 2a 2f 0a 0a 2f 2a 20 o.be.included.directly...*/../*.
e26a0 54 68 69 73 20 68 65 61 64 65 72 20 66 69 6c 65 20 63 6f 6e 74 61 69 6e 73 20 61 73 73 65 6d 62 This.header.file.contains.assemb
e26c0 6c 79 2d 6c 61 6e 67 75 61 67 65 20 64 65 66 69 6e 69 74 69 6f 6e 73 20 28 61 73 73 65 6d 62 6c ly-language.definitions.(assembl
e26e0 79 0a 20 20 20 6d 61 63 72 6f 73 2c 20 65 74 63 2e 29 20 66 6f 72 20 74 68 69 73 20 73 70 65 63 y....macros,.etc.).for.this.spec
e2700 69 66 69 63 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f 72 27 73 20 54 49 45 20 65 78 74 65 ific.Xtensa.processor's.TIE.exte
e2720 6e 73 69 6f 6e 73 0a 20 20 20 61 6e 64 20 6f 70 74 69 6f 6e 73 2e 20 20 49 74 20 69 73 20 63 75 nsions....and.options...It.is.cu
e2740 73 74 6f 6d 69 7a 65 64 20 74 6f 20 74 68 69 73 20 58 74 65 6e 73 61 20 70 72 6f 63 65 73 73 6f stomized.to.this.Xtensa.processo
e2760 72 20 63 6f 6e 66 69 67 75 72 61 74 69 6f 6e 2e 0a 0a 20 20 20 43 6f 70 79 72 69 67 68 74 20 28 r.configuration......Copyright.(
e2780 63 29 20 31 39 39 39 2d 32 30 31 36 20 43 61 64 65 6e 63 65 20 44 65 73 69 67 6e 20 53 79 73 74 c).1999-2016.Cadence.Design.Syst
e27a0 65 6d 73 20 49 6e 63 2e 0a 0a 20 20 20 50 65 72 6d 69 73 73 69 6f 6e 20 69 73 20 68 65 72 65 62 ems.Inc......Permission.is.hereb
e27c0 79 20 67 72 61 6e 74 65 64 2c 20 66 72 65 65 20 6f 66 20 63 68 61 72 67 65 2c 20 74 6f 20 61 6e y.granted,.free.of.charge,.to.an
e27e0 79 20 70 65 72 73 6f 6e 20 6f 62 74 61 69 6e 69 6e 67 0a 20 20 20 61 20 63 6f 70 79 20 6f 66 20 y.person.obtaining....a.copy.of.
e2800 74 68 69 73 20 73 6f 66 74 77 61 72 65 20 61 6e 64 20 61 73 73 6f 63 69 61 74 65 64 20 64 6f 63 this.software.and.associated.doc
e2820 75 6d 65 6e 74 61 74 69 6f 6e 20 66 69 6c 65 73 20 28 74 68 65 0a 20 20 20 22 53 6f 66 74 77 61 umentation.files.(the...."Softwa
e2840 72 65 22 29 2c 20 74 6f 20 64 65 61 6c 20 69 6e 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 77 69 re"),.to.deal.in.the.Software.wi
e2860 74 68 6f 75 74 20 72 65 73 74 72 69 63 74 69 6f 6e 2c 20 69 6e 63 6c 75 64 69 6e 67 0a 20 20 20 thout.restriction,.including....
e2880 77 69 74 68 6f 75 74 20 6c 69 6d 69 74 61 74 69 6f 6e 20 74 68 65 20 72 69 67 68 74 73 20 74 6f without.limitation.the.rights.to
e28a0 20 75 73 65 2c 20 63 6f 70 79 2c 20 6d 6f 64 69 66 79 2c 20 6d 65 72 67 65 2c 20 70 75 62 6c 69 .use,.copy,.modify,.merge,.publi
e28c0 73 68 2c 0a 20 20 20 64 69 73 74 72 69 62 75 74 65 2c 20 73 75 62 6c 69 63 65 6e 73 65 2c 20 61 sh,....distribute,.sublicense,.a
e28e0 6e 64 2f 6f 72 20 73 65 6c 6c 20 63 6f 70 69 65 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 nd/or.sell.copies.of.the.Softwar
e2900 65 2c 20 61 6e 64 20 74 6f 0a 20 20 20 70 65 72 6d 69 74 20 70 65 72 73 6f 6e 73 20 74 6f 20 77 e,.and.to....permit.persons.to.w
e2920 68 6f 6d 20 74 68 65 20 53 6f 66 74 77 61 72 65 20 69 73 20 66 75 72 6e 69 73 68 65 64 20 74 6f hom.the.Software.is.furnished.to
e2940 20 64 6f 20 73 6f 2c 20 73 75 62 6a 65 63 74 20 74 6f 0a 20 20 20 74 68 65 20 66 6f 6c 6c 6f 77 .do.so,.subject.to....the.follow
e2960 69 6e 67 20 63 6f 6e 64 69 74 69 6f 6e 73 3a 0a 0a 20 20 20 54 68 65 20 61 62 6f 76 65 20 63 6f ing.conditions:.....The.above.co
e2980 70 79 72 69 67 68 74 20 6e 6f 74 69 63 65 20 61 6e 64 20 74 68 69 73 20 70 65 72 6d 69 73 73 69 pyright.notice.and.this.permissi
e29a0 6f 6e 20 6e 6f 74 69 63 65 20 73 68 61 6c 6c 20 62 65 20 69 6e 63 6c 75 64 65 64 0a 20 20 20 69 on.notice.shall.be.included....i
e29c0 6e 20 61 6c 6c 20 63 6f 70 69 65 73 20 6f 72 20 73 75 62 73 74 61 6e 74 69 61 6c 20 70 6f 72 74 n.all.copies.or.substantial.port
e29e0 69 6f 6e 73 20 6f 66 20 74 68 65 20 53 6f 66 74 77 61 72 65 2e 0a 0a 20 20 20 54 48 45 20 53 4f ions.of.the.Software......THE.SO
e2a00 46 54 57 41 52 45 20 49 53 20 50 52 4f 56 49 44 45 44 20 22 41 53 20 49 53 22 2c 20 57 49 54 48 FTWARE.IS.PROVIDED."AS.IS",.WITH
e2a20 4f 55 54 20 57 41 52 52 41 4e 54 59 20 4f 46 20 41 4e 59 20 4b 49 4e 44 2c 0a 20 20 20 45 58 50 OUT.WARRANTY.OF.ANY.KIND,....EXP
e2a40 52 45 53 53 20 4f 52 20 49 4d 50 4c 49 45 44 2c 20 49 4e 43 4c 55 44 49 4e 47 20 42 55 54 20 4e RESS.OR.IMPLIED,.INCLUDING.BUT.N
e2a60 4f 54 20 4c 49 4d 49 54 45 44 20 54 4f 20 54 48 45 20 57 41 52 52 41 4e 54 49 45 53 20 4f 46 0a OT.LIMITED.TO.THE.WARRANTIES.OF.
e2a80 20 20 20 4d 45 52 43 48 41 4e 54 41 42 49 4c 49 54 59 2c 20 46 49 54 4e 45 53 53 20 46 4f 52 20 ...MERCHANTABILITY,.FITNESS.FOR.
e2aa0 41 20 50 41 52 54 49 43 55 4c 41 52 20 50 55 52 50 4f 53 45 20 41 4e 44 20 4e 4f 4e 49 4e 46 52 A.PARTICULAR.PURPOSE.AND.NONINFR
e2ac0 49 4e 47 45 4d 45 4e 54 2e 0a 20 20 20 49 4e 20 4e 4f 20 45 56 45 4e 54 20 53 48 41 4c 4c 20 54 INGEMENT.....IN.NO.EVENT.SHALL.T
e2ae0 48 45 20 41 55 54 48 4f 52 53 20 4f 52 20 43 4f 50 59 52 49 47 48 54 20 48 4f 4c 44 45 52 53 20 HE.AUTHORS.OR.COPYRIGHT.HOLDERS.
e2b00 42 45 20 4c 49 41 42 4c 45 20 46 4f 52 20 41 4e 59 0a 20 20 20 43 4c 41 49 4d 2c 20 44 41 4d 41 BE.LIABLE.FOR.ANY....CLAIM,.DAMA
e2b20 47 45 53 20 4f 52 20 4f 54 48 45 52 20 4c 49 41 42 49 4c 49 54 59 2c 20 57 48 45 54 48 45 52 20 GES.OR.OTHER.LIABILITY,.WHETHER.
e2b40 49 4e 20 41 4e 20 41 43 54 49 4f 4e 20 4f 46 20 43 4f 4e 54 52 41 43 54 2c 0a 20 20 20 54 4f 52 IN.AN.ACTION.OF.CONTRACT,....TOR
e2b60 54 20 4f 52 20 4f 54 48 45 52 57 49 53 45 2c 20 41 52 49 53 49 4e 47 20 46 52 4f 4d 2c 20 4f 55 T.OR.OTHERWISE,.ARISING.FROM,.OU
e2b80 54 20 4f 46 20 4f 52 20 49 4e 20 43 4f 4e 4e 45 43 54 49 4f 4e 20 57 49 54 48 20 54 48 45 0a 20 T.OF.OR.IN.CONNECTION.WITH.THE..
e2ba0 20 20 53 4f 46 54 57 41 52 45 20 4f 52 20 54 48 45 20 55 53 45 20 4f 52 20 4f 54 48 45 52 20 44 ..SOFTWARE.OR.THE.USE.OR.OTHER.D
e2bc0 45 41 4c 49 4e 47 53 20 49 4e 20 54 48 45 20 53 4f 46 54 57 41 52 45 2e 20 20 2a 2f 0a 0a 23 69 EALINGS.IN.THE.SOFTWARE...*/..#i
e2be0 66 6e 64 65 66 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 41 53 4d 5f 48 0a 23 64 65 fndef._XTENSA_CORE_TIE_ASM_H.#de
e2c00 66 69 6e 65 20 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 41 53 4d 5f 48 0a 0a 2f 2a 20 fine._XTENSA_CORE_TIE_ASM_H../*.
e2c20 20 53 65 6c 65 63 74 69 6f 6e 20 70 61 72 61 6d 65 74 65 72 20 76 61 6c 75 65 73 20 66 6f 72 20 .Selection.parameter.values.for.
e2c40 73 61 76 65 2d 61 72 65 61 20 73 61 76 65 2f 72 65 73 74 6f 72 65 20 6d 61 63 72 6f 73 3a 20 20 save-area.save/restore.macros:..
e2c60 2a 2f 0a 2f 2a 20 20 4f 70 74 69 6f 6e 20 76 73 2e 20 54 49 45 3a 20 20 2a 2f 0a 23 64 65 66 69 */./*..Option.vs..TIE:..*/.#defi
e2c80 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 54 49 45 09 30 78 30 30 30 31 09 2f 2a 20 63 75 73 74 6f ne.XTHAL_SAS_TIE.0x0001./*.custo
e2ca0 6d 20 65 78 74 65 6e 73 69 6f 6e 20 6f 72 20 63 6f 70 72 6f 63 65 73 73 6f 72 20 2a 2f 0a 23 64 m.extension.or.coprocessor.*/.#d
e2cc0 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 09 30 78 30 30 30 32 09 2f 2a 20 6f 70 efine.XTHAL_SAS_OPT.0x0002./*.op
e2ce0 74 69 6f 6e 61 6c 20 28 61 6e 64 20 6e 6f 74 20 61 20 63 6f 70 72 6f 63 65 73 73 6f 72 29 20 2a tional.(and.not.a.coprocessor).*
e2d00 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 41 4e 59 4f 54 09 30 78 30 30 30 33 /.#define.XTHAL_SAS_ANYOT.0x0003
e2d20 09 2f 2a 20 62 6f 74 68 20 6f 66 20 74 68 65 20 61 62 6f 76 65 20 2a 2f 0a 2f 2a 20 20 57 68 65 ./*.both.of.the.above.*/./*..Whe
e2d40 74 68 65 72 20 75 73 65 64 20 61 75 74 6f 6d 61 74 69 63 61 6c 6c 79 20 62 79 20 63 6f 6d 70 69 ther.used.automatically.by.compi
e2d60 6c 65 72 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 4e 4f 43 43 09 ler:..*/.#define.XTHAL_SAS_NOCC.
e2d80 30 78 30 30 30 34 09 2f 2a 20 6e 6f 74 20 75 73 65 64 20 62 79 20 63 6f 6d 70 69 6c 65 72 20 77 0x0004./*.not.used.by.compiler.w
e2da0 2f 6f 20 73 70 65 63 69 61 6c 20 6f 70 74 73 2f 63 6f 64 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 /o.special.opts/code.*/.#define.
e2dc0 58 54 48 41 4c 5f 53 41 53 5f 43 43 09 30 78 30 30 30 38 09 2f 2a 20 75 73 65 64 20 62 79 20 63 XTHAL_SAS_CC.0x0008./*.used.by.c
e2de0 6f 6d 70 69 6c 65 72 20 77 69 74 68 6f 75 74 20 73 70 65 63 69 61 6c 20 6f 70 74 73 2f 63 6f 64 ompiler.without.special.opts/cod
e2e00 65 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 41 4e 59 43 43 09 30 78 30 e.*/.#define.XTHAL_SAS_ANYCC.0x0
e2e20 30 30 43 09 2f 2a 20 62 6f 74 68 20 6f 66 20 74 68 65 20 61 62 6f 76 65 20 2a 2f 0a 2f 2a 20 20 00C./*.both.of.the.above.*/./*..
e2e40 41 42 49 20 68 61 6e 64 6c 69 6e 67 20 61 63 72 6f 73 73 20 66 75 6e 63 74 69 6f 6e 20 63 61 6c ABI.handling.across.function.cal
e2e60 6c 73 3a 20 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 09 30 ls:..*/.#define.XTHAL_SAS_CALR.0
e2e80 78 30 30 31 30 09 2f 2a 20 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 2a 2f 0a 23 64 65 66 69 6e 65 x0010./*.caller-saved.*/.#define
e2ea0 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 45 09 30 78 30 30 32 30 09 2f 2a 20 63 61 6c 6c 65 65 .XTHAL_SAS_CALE.0x0020./*.callee
e2ec0 2d 73 61 76 65 64 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 47 4c 4f 42 -saved.*/.#define.XTHAL_SAS_GLOB
e2ee0 09 30 78 30 30 34 30 09 2f 2a 20 67 6c 6f 62 61 6c 20 61 63 72 6f 73 73 20 66 75 6e 63 74 69 6f .0x0040./*.global.across.functio
e2f00 6e 20 63 61 6c 6c 73 20 28 69 6e 20 74 68 72 65 61 64 29 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 n.calls.(in.thread).*/.#define.X
e2f20 54 48 41 4c 5f 53 41 53 5f 41 4e 59 41 42 49 09 30 78 30 30 37 30 09 2f 2a 20 61 6c 6c 20 6f 66 THAL_SAS_ANYABI.0x0070./*.all.of
e2f40 20 74 68 65 20 61 62 6f 76 65 20 74 68 72 65 65 20 2a 2f 0a 2f 2a 20 20 4d 69 73 63 20 20 2a 2f .the.above.three.*/./*..Misc..*/
e2f60 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 5f 41 4c 4c 09 30 78 46 46 46 46 09 2f 2a .#define.XTHAL_SAS_ALL.0xFFFF./*
e2f80 20 69 6e 63 6c 75 64 65 20 61 6c 6c 20 64 65 66 61 75 6c 74 20 4e 43 50 20 63 6f 6e 74 65 6e 74 .include.all.default.NCP.content
e2fa0 73 20 2a 2f 0a 23 64 65 66 69 6e 65 20 58 54 48 41 4c 5f 53 41 53 33 28 6f 70 74 69 65 2c 63 63 s.*/.#define.XTHAL_SAS3(optie,cc
e2fc0 75 73 65 2c 61 62 69 29 09 28 20 28 28 6f 70 74 69 65 29 20 26 20 58 54 48 41 4c 5f 53 41 53 5f use,abi).(.((optie).&.XTHAL_SAS_
e2fe0 41 4e 59 4f 54 29 20 20 5c 0a 09 09 09 09 09 7c 20 28 28 63 63 75 73 65 29 20 26 20 58 54 48 41 ANYOT)..\......|.((ccuse).&.XTHA
e3000 4c 5f 53 41 53 5f 41 4e 59 43 43 29 20 20 5c 0a 09 09 09 09 09 7c 20 28 28 61 62 69 29 20 20 20 L_SAS_ANYCC)..\......|.((abi)...
e3020 26 20 58 54 48 41 4c 5f 53 41 53 5f 41 4e 59 41 42 49 29 20 29 0a 0a 0a 20 20 20 20 2f 2a 0a 20 &.XTHAL_SAS_ANYABI).)......./*..
e3040 20 20 20 20 20 2a 20 20 4d 61 63 72 6f 20 74 6f 20 73 74 6f 72 65 20 61 6c 6c 20 6e 6f 6e 2d 63 .....*..Macro.to.store.all.non-c
e3060 6f 70 72 6f 63 65 73 73 6f 72 20 28 65 78 74 72 61 29 20 63 75 73 74 6f 6d 20 54 49 45 20 61 6e oprocessor.(extra).custom.TIE.an
e3080 64 20 6f 70 74 69 6f 6e 61 6c 20 73 74 61 74 65 0a 20 20 20 20 20 20 2a 20 20 28 6e 6f 74 20 69 d.optional.state.......*..(not.i
e30a0 6e 63 6c 75 64 69 6e 67 20 7a 65 72 6f 2d 6f 76 65 72 68 65 61 64 20 6c 6f 6f 70 20 72 65 67 69 ncluding.zero-overhead.loop.regi
e30c0 73 74 65 72 73 29 2e 0a 20 20 20 20 20 20 2a 20 20 52 65 71 75 69 72 65 64 20 70 61 72 61 6d 65 sters)........*..Required.parame
e30e0 74 65 72 73 3a 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 70 74 72 20 20 20 20 20 20 20 20 20 53 ters:.......*......ptr.........S
e3100 61 76 65 20 61 72 65 61 20 70 6f 69 6e 74 65 72 20 61 64 64 72 65 73 73 20 72 65 67 69 73 74 65 ave.area.pointer.address.registe
e3120 72 20 28 63 6c 6f 62 62 65 72 65 64 29 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 r.(clobbered).......*...........
e3140 20 20 20 20 20 20 20 28 72 65 67 69 73 74 65 72 20 6d 75 73 74 20 63 6f 6e 74 61 69 6e 20 61 20 .......(register.must.contain.a.
e3160 34 20 62 79 74 65 20 61 6c 69 67 6e 65 64 20 61 64 64 72 65 73 73 29 2e 0a 20 20 20 20 20 20 2a 4.byte.aligned.address)........*
e3180 20 20 20 20 20 20 61 74 31 2e 2e 61 74 34 20 20 20 20 46 6f 75 72 20 74 65 6d 70 6f 72 61 72 79 ......at1..at4....Four.temporary
e31a0 20 61 64 64 72 65 73 73 20 72 65 67 69 73 74 65 72 73 20 28 66 69 72 73 74 20 58 43 48 41 4c 5f .address.registers.(first.XCHAL_
e31c0 4e 43 50 5f 4e 55 4d 5f 41 54 4d 50 53 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 NCP_NUM_ATMPS.......*...........
e31e0 20 20 20 20 20 20 20 72 65 67 69 73 74 65 72 73 20 61 72 65 20 63 6c 6f 62 62 65 72 65 64 2c 20 .......registers.are.clobbered,.
e3200 74 68 65 20 72 65 6d 61 69 6e 69 6e 67 20 61 72 65 20 75 6e 75 73 65 64 29 2e 0a 20 20 20 20 20 the.remaining.are.unused).......
e3220 20 2a 20 20 4f 70 74 69 6f 6e 61 6c 20 70 61 72 61 6d 65 74 65 72 73 3a 0a 20 20 20 20 20 20 2a .*..Optional.parameters:.......*
e3240 20 20 20 20 20 20 63 6f 6e 74 69 6e 75 65 20 20 20 20 49 66 20 6d 61 63 72 6f 20 69 6e 76 6f 6b ......continue....If.macro.invok
e3260 65 64 20 61 73 20 70 61 72 74 20 6f 66 20 61 20 6c 61 72 67 65 72 20 73 74 6f 72 65 20 73 65 71 ed.as.part.of.a.larger.store.seq
e3280 75 65 6e 63 65 2c 20 73 65 74 20 74 6f 20 31 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 uence,.set.to.1.......*.........
e32a0 20 20 20 20 20 20 20 20 20 69 66 20 74 68 69 73 20 69 73 20 6e 6f 74 20 74 68 65 20 66 69 72 73 .........if.this.is.not.the.firs
e32c0 74 20 69 6e 20 74 68 65 20 73 65 71 75 65 6e 63 65 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 t.in.the.sequence...Defaults.to.
e32e0 30 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 6f 66 73 20 20 20 20 20 20 20 20 20 4f 66 66 73 0........*......ofs.........Offs
e3300 65 74 20 66 72 6f 6d 20 73 74 61 72 74 20 6f 66 20 6c 61 72 67 65 72 20 73 65 71 75 65 6e 63 65 et.from.start.of.larger.sequence
e3320 20 28 66 72 6f 6d 20 76 61 6c 75 65 20 6f 66 20 66 69 72 73 74 20 70 74 72 0a 20 20 20 20 20 20 .(from.value.of.first.ptr.......
e3340 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 69 6e 20 73 65 71 75 65 6e 63 65 29 20 *..................in.sequence).
e3360 61 74 20 77 68 69 63 68 20 74 6f 20 73 74 6f 72 65 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 at.which.to.store...Defaults.to.
e3380 6e 65 78 74 20 61 76 61 69 6c 61 62 6c 65 20 73 70 61 63 65 0a 20 20 20 20 20 20 2a 20 20 20 20 next.available.space.......*....
e33a0 20 20 20 20 20 20 20 20 20 20 20 20 20 20 28 6f 72 20 30 20 69 66 20 3c 63 6f 6e 74 69 6e 75 65 ..............(or.0.if.<continue
e33c0 3e 20 69 73 20 30 29 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 73 65 6c 65 63 74 20 20 20 20 >.is.0)........*......select....
e33e0 20 20 53 65 6c 65 63 74 20 77 68 61 74 20 63 61 74 65 67 6f 72 79 28 69 65 73 29 20 6f 66 20 72 ..Select.what.category(ies).of.r
e3400 65 67 69 73 74 65 72 73 20 74 6f 20 73 74 6f 72 65 2c 20 61 73 20 61 20 62 69 74 6d 61 73 6b 0a egisters.to.store,.as.a.bitmask.
e3420 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 28 73 65 65 20 58 54 ......*..................(see.XT
e3440 48 41 4c 5f 53 41 53 5f 78 78 78 20 63 6f 6e 73 74 61 6e 74 73 29 2e 20 20 44 65 66 61 75 6c 74 HAL_SAS_xxx.constants)...Default
e3460 73 20 74 6f 20 61 6c 6c 20 72 65 67 69 73 74 65 72 73 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 s.to.all.registers........*.....
e3480 20 61 6c 6c 6f 63 20 20 20 20 20 20 20 53 65 6c 65 63 74 20 77 68 61 74 20 63 61 74 65 67 6f 72 .alloc.......Select.what.categor
e34a0 79 28 69 65 73 29 20 6f 66 20 72 65 67 69 73 74 65 72 73 20 74 6f 20 61 6c 6c 6f 63 61 74 65 3b y(ies).of.registers.to.allocate;
e34c0 20 69 66 20 61 6e 79 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 .if.any.......*.................
e34e0 20 63 61 74 65 67 6f 72 79 20 69 73 20 73 65 6c 65 63 74 65 64 20 68 65 72 65 20 74 68 61 74 20 .category.is.selected.here.that.
e3500 69 73 20 6e 6f 74 20 69 6e 20 3c 73 65 6c 65 63 74 3e 2c 20 73 70 61 63 65 20 66 6f 72 0a 20 20 is.not.in.<select>,.space.for...
e3520 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 74 68 65 20 63 6f 72 72 65 ....*..................the.corre
e3540 73 70 6f 6e 64 69 6e 67 20 72 65 67 69 73 74 65 72 73 20 69 73 20 73 6b 69 70 70 65 64 20 77 69 sponding.registers.is.skipped.wi
e3560 74 68 6f 75 74 20 64 6f 69 6e 67 20 61 6e 79 20 73 74 6f 72 65 2e 0a 20 20 20 20 20 20 2a 2f 0a thout.doing.any.store........*/.
e3580 20 20 20 20 2e 6d 61 63 72 6f 20 78 63 68 61 6c 5f 6e 63 70 5f 73 74 6f 72 65 20 20 70 74 72 20 .....macro.xchal_ncp_store..ptr.
e35a0 61 74 31 20 61 74 32 20 61 74 33 20 61 74 34 20 20 63 6f 6e 74 69 6e 75 65 3d 30 20 6f 66 73 3d at1.at2.at3.at4..continue=0.ofs=
e35c0 2d 31 20 73 65 6c 65 63 74 3d 58 54 48 41 4c 5f 53 41 53 5f 41 4c 4c 20 61 6c 6c 6f 63 3d 30 0a -1.select=XTHAL_SAS_ALL.alloc=0.
e35e0 09 78 63 68 61 6c 5f 73 61 5f 73 74 61 72 74 09 5c 63 6f 6e 74 69 6e 75 65 2c 20 5c 6f 66 73 0a .xchal_sa_start.\continue,.\ofs.
e3600 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 67 6c 6f 62 61 6c 20 72 65 67 69 73 74 65 72 73 20 75 73 .//.Optional.global.registers.us
e3620 65 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 ed.by.default.by.the.compiler:..
e3640 2e 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 .ifeq.(XTHAL_SAS_OPT.|.XTHAL_SAS
e3660 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 47 4c 4f 42 29 20 26 20 7e 28 5c 73 65 6c 65 63 _CC.|.XTHAL_SAS_GLOB).&.~(\selec
e3680 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 36 t)..xchal_sa_align.\ptr,.0,.1016
e36a0 2c 20 34 2c 20 34 0a 09 72 75 72 2e 54 48 52 45 41 44 50 54 52 09 5c 61 74 31 09 09 2f 2f 20 74 ,.4,.4..rur.THREADPTR.\at1..//.t
e36c0 68 72 65 61 64 70 74 72 20 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 hreadptr.option..s32i.\at1,.\ptr
e36e0 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 30 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f ,..Lxchal_ofs_+0...set..Lxchal_o
e3700 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 34 0a 09 2e 65 6c 73 65 69 66 20 28 fs_,..Lxchal_ofs_.+.4...elseif.(
e3720 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 (XTHAL_SAS_OPT.|.XTHAL_SAS_CC.|.
e3740 58 54 48 41 4c 5f 53 41 53 5f 47 4c 4f 42 29 20 26 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d 3d 20 XTHAL_SAS_GLOB).&.~(\alloc)).==.
e3760 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 36 2c 0..xchal_sa_align.\ptr,.0,.1016,
e3780 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 .4,.4...set..Lxchal_ofs_,..Lxcha
e37a0 6c 5f 6f 66 73 5f 20 2b 20 34 0a 09 2e 65 6e 64 69 66 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 l_ofs_.+.4...endif..//.Optional.
e37c0 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 72 65 67 69 73 74 65 72 73 20 75 73 65 64 20 62 79 20 64 caller-saved.registers.used.by.d
e37e0 65 66 61 75 6c 74 20 62 79 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 2e 69 66 65 71 20 28 efault.by.the.compiler:...ifeq.(
e3800 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 XTHAL_SAS_OPT.|.XTHAL_SAS_CC.|.X
e3820 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 73 65 6c 65 63 74 29 0a 09 78 63 68 THAL_SAS_CALR).&.~(\select)..xch
e3840 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 32 2c 20 34 2c 20 34 0a al_sa_align.\ptr,.0,.1012,.4,.4.
e3860 09 72 73 72 2e 41 43 43 4c 4f 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e .rsr.ACCLO.\at1..//.MAC16.option
e3880 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b ..s32i.\at1,.\ptr,..Lxchal_ofs_+
e38a0 30 0a 09 72 73 72 2e 41 43 43 48 49 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 0..rsr.ACCHI.\at1..//.MAC16.opti
e38c0 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 on..s32i.\at1,.\ptr,..Lxchal_ofs
e38e0 5f 2b 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f _+4...set..Lxchal_ofs_,..Lxchal_
e3900 6f 66 73 5f 20 2b 20 38 0a 09 2e 65 6c 73 65 69 66 20 28 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 ofs_.+.8...elseif.((XTHAL_SAS_OP
e3920 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c T.|.XTHAL_SAS_CC.|.XTHAL_SAS_CAL
e3940 52 29 20 26 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d 3d 20 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 R).&.~(\alloc)).==.0..xchal_sa_a
e3960 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 32 2c 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e lign.\ptr,.0,.1012,.4,.4...set..
e3980 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 38 0a 09 2e Lxchal_ofs_,..Lxchal_ofs_.+.8...
e39a0 65 6e 64 69 66 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 endif..//.Optional.caller-saved.
e39c0 72 65 67 69 73 74 65 72 73 20 6e 6f 74 20 75 73 65 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 registers.not.used.by.default.by
e39e0 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 2e 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 .the.compiler:...ifeq.(XTHAL_SAS
e3a00 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 4e 4f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 _OPT.|.XTHAL_SAS_NOCC.|.XTHAL_SA
e3a20 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 73 65 6c 65 63 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 S_CALR).&.~(\select)..xchal_sa_a
e3a40 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 30 30 2c 20 34 2c 20 34 0a 09 72 73 72 2e 53 43 lign.\ptr,.0,.1000,.4,.4..rsr.SC
e3a60 4f 4d 50 41 52 45 31 09 5c 61 74 31 09 09 2f 2f 20 63 6f 6e 64 69 74 69 6f 6e 61 6c 20 73 74 6f OMPARE1.\at1..//.conditional.sto
e3a80 72 65 20 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 re.option..s32i.\at1,.\ptr,..Lxc
e3aa0 68 61 6c 5f 6f 66 73 5f 2b 30 0a 09 72 73 72 2e 4d 30 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 hal_ofs_+0..rsr.M0.\at1..//.MAC1
e3ac0 36 20 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 6.option..s32i.\at1,.\ptr,..Lxch
e3ae0 61 6c 5f 6f 66 73 5f 2b 34 0a 09 72 73 72 2e 4d 31 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 al_ofs_+4..rsr.M1.\at1..//.MAC16
e3b00 20 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 .option..s32i.\at1,.\ptr,..Lxcha
e3b20 6c 5f 6f 66 73 5f 2b 38 0a 09 72 73 72 2e 4d 32 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 l_ofs_+8..rsr.M2.\at1..//.MAC16.
e3b40 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c option..s32i.\at1,.\ptr,..Lxchal
e3b60 5f 6f 66 73 5f 2b 31 32 0a 09 72 73 72 2e 4d 33 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 _ofs_+12..rsr.M3.\at1..//.MAC16.
e3b80 6f 70 74 69 6f 6e 0a 09 73 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c option..s32i.\at1,.\ptr,..Lxchal
e3ba0 5f 6f 66 73 5f 2b 31 36 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 _ofs_+16...set..Lxchal_ofs_,..Lx
e3bc0 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 32 30 0a 09 2e 65 6c 73 65 69 66 20 28 28 58 54 48 41 4c 5f chal_ofs_.+.20...elseif.((XTHAL_
e3be0 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 4e 4f 43 43 20 7c 20 58 54 48 41 4c SAS_OPT.|.XTHAL_SAS_NOCC.|.XTHAL
e3c00 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d 3d 20 30 0a 09 78 63 _SAS_CALR).&.~(\alloc)).==.0..xc
e3c20 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 30 30 2c 20 34 2c 20 34 hal_sa_align.\ptr,.0,.1000,.4,.4
e3c40 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 ...set..Lxchal_ofs_,..Lxchal_ofs
e3c60 5f 20 2b 20 32 30 0a 09 2e 65 6e 64 69 66 0a 20 20 20 20 2e 65 6e 64 6d 09 2f 2f 20 78 63 68 61 _.+.20...endif......endm.//.xcha
e3c80 6c 5f 6e 63 70 5f 73 74 6f 72 65 0a 0a 20 20 20 20 2f 2a 0a 20 20 20 20 20 20 2a 20 20 4d 61 63 l_ncp_store....../*.......*..Mac
e3ca0 72 6f 20 74 6f 20 6c 6f 61 64 20 61 6c 6c 20 6e 6f 6e 2d 63 6f 70 72 6f 63 65 73 73 6f 72 20 28 ro.to.load.all.non-coprocessor.(
e3cc0 65 78 74 72 61 29 20 63 75 73 74 6f 6d 20 54 49 45 20 61 6e 64 20 6f 70 74 69 6f 6e 61 6c 20 73 extra).custom.TIE.and.optional.s
e3ce0 74 61 74 65 0a 20 20 20 20 20 20 2a 20 20 28 6e 6f 74 20 69 6e 63 6c 75 64 69 6e 67 20 7a 65 72 tate.......*..(not.including.zer
e3d00 6f 2d 6f 76 65 72 68 65 61 64 20 6c 6f 6f 70 20 72 65 67 69 73 74 65 72 73 29 2e 0a 20 20 20 20 o-overhead.loop.registers)......
e3d20 20 20 2a 20 20 52 65 71 75 69 72 65 64 20 70 61 72 61 6d 65 74 65 72 73 3a 0a 20 20 20 20 20 20 ..*..Required.parameters:.......
e3d40 2a 20 20 20 20 20 20 70 74 72 20 20 20 20 20 20 20 20 20 53 61 76 65 20 61 72 65 61 20 70 6f 69 *......ptr.........Save.area.poi
e3d60 6e 74 65 72 20 61 64 64 72 65 73 73 20 72 65 67 69 73 74 65 72 20 28 63 6c 6f 62 62 65 72 65 64 nter.address.register.(clobbered
e3d80 29 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 28 72 65 67 69 ).......*..................(regi
e3da0 73 74 65 72 20 6d 75 73 74 20 63 6f 6e 74 61 69 6e 20 61 20 34 20 62 79 74 65 20 61 6c 69 67 6e ster.must.contain.a.4.byte.align
e3dc0 65 64 20 61 64 64 72 65 73 73 29 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 61 74 31 2e 2e 61 ed.address)........*......at1..a
e3de0 74 34 20 20 20 20 46 6f 75 72 20 74 65 6d 70 6f 72 61 72 79 20 61 64 64 72 65 73 73 20 72 65 67 t4....Four.temporary.address.reg
e3e00 69 73 74 65 72 73 20 28 66 69 72 73 74 20 58 43 48 41 4c 5f 4e 43 50 5f 4e 55 4d 5f 41 54 4d 50 isters.(first.XCHAL_NCP_NUM_ATMP
e3e20 53 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 72 65 67 69 73 S.......*..................regis
e3e40 74 65 72 73 20 61 72 65 20 63 6c 6f 62 62 65 72 65 64 2c 20 74 68 65 20 72 65 6d 61 69 6e 69 6e ters.are.clobbered,.the.remainin
e3e60 67 20 61 72 65 20 75 6e 75 73 65 64 29 2e 0a 20 20 20 20 20 20 2a 20 20 4f 70 74 69 6f 6e 61 6c g.are.unused)........*..Optional
e3e80 20 70 61 72 61 6d 65 74 65 72 73 3a 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 63 6f 6e 74 69 6e .parameters:.......*......contin
e3ea0 75 65 20 20 20 20 49 66 20 6d 61 63 72 6f 20 69 6e 76 6f 6b 65 64 20 61 73 20 70 61 72 74 20 6f ue....If.macro.invoked.as.part.o
e3ec0 66 20 61 20 6c 61 72 67 65 72 20 6c 6f 61 64 20 73 65 71 75 65 6e 63 65 2c 20 73 65 74 20 74 6f f.a.larger.load.sequence,.set.to
e3ee0 20 31 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 69 66 20 74 .1.......*..................if.t
e3f00 68 69 73 20 69 73 20 6e 6f 74 20 74 68 65 20 66 69 72 73 74 20 69 6e 20 74 68 65 20 73 65 71 75 his.is.not.the.first.in.the.sequ
e3f20 65 6e 63 65 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 30 2e 0a 20 20 20 20 20 20 2a 20 20 20 ence...Defaults.to.0........*...
e3f40 20 20 20 6f 66 73 20 20 20 20 20 20 20 20 20 4f 66 66 73 65 74 20 66 72 6f 6d 20 73 74 61 72 74 ...ofs.........Offset.from.start
e3f60 20 6f 66 20 6c 61 72 67 65 72 20 73 65 71 75 65 6e 63 65 20 28 66 72 6f 6d 20 76 61 6c 75 65 20 .of.larger.sequence.(from.value.
e3f80 6f 66 20 66 69 72 73 74 20 70 74 72 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 of.first.ptr.......*............
e3fa0 20 20 20 20 20 20 69 6e 20 73 65 71 75 65 6e 63 65 29 20 61 74 20 77 68 69 63 68 20 74 6f 20 6c ......in.sequence).at.which.to.l
e3fc0 6f 61 64 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 6e 65 78 74 20 61 76 61 69 6c 61 62 6c 65 oad...Defaults.to.next.available
e3fe0 20 73 70 61 63 65 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 .space.......*..................
e4000 28 6f 72 20 30 20 69 66 20 3c 63 6f 6e 74 69 6e 75 65 3e 20 69 73 20 30 29 2e 0a 20 20 20 20 20 (or.0.if.<continue>.is.0).......
e4020 20 2a 20 20 20 20 20 20 73 65 6c 65 63 74 20 20 20 20 20 20 53 65 6c 65 63 74 20 77 68 61 74 20 .*......select......Select.what.
e4040 63 61 74 65 67 6f 72 79 28 69 65 73 29 20 6f 66 20 72 65 67 69 73 74 65 72 73 20 74 6f 20 6c 6f category(ies).of.registers.to.lo
e4060 61 64 2c 20 61 73 20 61 20 62 69 74 6d 61 73 6b 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 ad,.as.a.bitmask.......*........
e4080 20 20 20 20 20 20 20 20 20 20 28 73 65 65 20 58 54 48 41 4c 5f 53 41 53 5f 78 78 78 20 63 6f 6e ..........(see.XTHAL_SAS_xxx.con
e40a0 73 74 61 6e 74 73 29 2e 20 20 44 65 66 61 75 6c 74 73 20 74 6f 20 61 6c 6c 20 72 65 67 69 73 74 stants)...Defaults.to.all.regist
e40c0 65 72 73 2e 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 61 6c 6c 6f 63 20 20 20 20 20 20 20 53 65 ers........*......alloc.......Se
e40e0 6c 65 63 74 20 77 68 61 74 20 63 61 74 65 67 6f 72 79 28 69 65 73 29 20 6f 66 20 72 65 67 69 73 lect.what.category(ies).of.regis
e4100 74 65 72 73 20 74 6f 20 61 6c 6c 6f 63 61 74 65 3b 20 69 66 20 61 6e 79 0a 20 20 20 20 20 20 2a ters.to.allocate;.if.any.......*
e4120 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 20 63 61 74 65 67 6f 72 79 20 69 73 20 73 65 ..................category.is.se
e4140 6c 65 63 74 65 64 20 68 65 72 65 20 74 68 61 74 20 69 73 20 6e 6f 74 20 69 6e 20 3c 73 65 6c 65 lected.here.that.is.not.in.<sele
e4160 63 74 3e 2c 20 73 70 61 63 65 20 66 6f 72 0a 20 20 20 20 20 20 2a 20 20 20 20 20 20 20 20 20 20 ct>,.space.for.......*..........
e4180 20 20 20 20 20 20 20 20 74 68 65 20 63 6f 72 72 65 73 70 6f 6e 64 69 6e 67 20 72 65 67 69 73 74 ........the.corresponding.regist
e41a0 65 72 73 20 69 73 20 73 6b 69 70 70 65 64 20 77 69 74 68 6f 75 74 20 64 6f 69 6e 67 20 61 6e 79 ers.is.skipped.without.doing.any
e41c0 20 6c 6f 61 64 2e 0a 20 20 20 20 20 20 2a 2f 0a 20 20 20 20 2e 6d 61 63 72 6f 20 78 63 68 61 6c .load........*/......macro.xchal
e41e0 5f 6e 63 70 5f 6c 6f 61 64 20 20 70 74 72 20 61 74 31 20 61 74 32 20 61 74 33 20 61 74 34 20 20 _ncp_load..ptr.at1.at2.at3.at4..
e4200 63 6f 6e 74 69 6e 75 65 3d 30 20 6f 66 73 3d 2d 31 20 73 65 6c 65 63 74 3d 58 54 48 41 4c 5f 53 continue=0.ofs=-1.select=XTHAL_S
e4220 41 53 5f 41 4c 4c 20 61 6c 6c 6f 63 3d 30 0a 09 78 63 68 61 6c 5f 73 61 5f 73 74 61 72 74 09 5c AS_ALL.alloc=0..xchal_sa_start.\
e4240 63 6f 6e 74 69 6e 75 65 2c 20 5c 6f 66 73 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 67 6c 6f 62 continue,.\ofs..//.Optional.glob
e4260 61 6c 20 72 65 67 69 73 74 65 72 73 20 75 73 65 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 20 al.registers.used.by.default.by.
e4280 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 2e 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 5f the.compiler:...ifeq.(XTHAL_SAS_
e42a0 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 47 OPT.|.XTHAL_SAS_CC.|.XTHAL_SAS_G
e42c0 4c 4f 42 29 20 26 20 7e 28 5c 73 65 6c 65 63 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 LOB).&.~(\select)..xchal_sa_alig
e42e0 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 36 2c 20 34 2c 20 34 0a 09 6c 33 32 69 09 5c 61 74 31 n.\ptr,.0,.1016,.4,.4..l32i.\at1
e4300 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 30 0a 09 77 75 72 2e 54 48 52 45 ,.\ptr,..Lxchal_ofs_+0..wur.THRE
e4320 41 44 50 54 52 09 5c 61 74 31 09 09 2f 2f 20 74 68 72 65 61 64 70 74 72 20 6f 70 74 69 6f 6e 0a ADPTR.\at1..//.threadptr.option.
e4340 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f ..set..Lxchal_ofs_,..Lxchal_ofs_
e4360 20 2b 20 34 0a 09 2e 65 6c 73 65 69 66 20 28 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 .+.4...elseif.((XTHAL_SAS_OPT.|.
e4380 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 47 4c 4f 42 29 20 26 XTHAL_SAS_CC.|.XTHAL_SAS_GLOB).&
e43a0 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d 3d 20 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e .~(\alloc)).==.0..xchal_sa_align
e43c0 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 36 2c 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 .\ptr,.0,.1016,.4,.4...set..Lxch
e43e0 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 34 0a 09 2e 65 6e 64 69 al_ofs_,..Lxchal_ofs_.+.4...endi
e4400 66 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 6c 20 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 72 65 67 69 f..//.Optional.caller-saved.regi
e4420 73 74 65 72 73 20 75 73 65 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 20 74 68 65 20 63 6f 6d sters.used.by.default.by.the.com
e4440 70 69 6c 65 72 3a 0a 09 2e 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 piler:...ifeq.(XTHAL_SAS_OPT.|.X
e4460 54 48 41 4c 5f 53 41 53 5f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 THAL_SAS_CC.|.XTHAL_SAS_CALR).&.
e4480 7e 28 5c 73 65 6c 65 63 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c ~(\select)..xchal_sa_align.\ptr,
e44a0 20 30 2c 20 31 30 31 32 2c 20 34 2c 20 34 0a 09 6c 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c .0,.1012,.4,.4..l32i.\at1,.\ptr,
e44c0 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 30 0a 09 77 73 72 2e 41 43 43 4c 4f 09 5c 61 74 31 09 ..Lxchal_ofs_+0..wsr.ACCLO.\at1.
e44e0 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 .//.MAC16.option..l32i.\at1,.\pt
e4500 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 34 0a 09 77 73 72 2e 41 43 43 48 49 09 5c 61 74 r,..Lxchal_ofs_+4..wsr.ACCHI.\at
e4520 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 1..//.MAC16.option...set..Lxchal
e4540 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 38 0a 09 2e 65 6c 73 65 69 66 _ofs_,..Lxchal_ofs_.+.8...elseif
e4560 20 28 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 43 20 .((XTHAL_SAS_OPT.|.XTHAL_SAS_CC.
e4580 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 61 6c 6c 6f 63 29 29 20 3d |.XTHAL_SAS_CALR).&.~(\alloc)).=
e45a0 3d 20 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 31 =.0..xchal_sa_align.\ptr,.0,.101
e45c0 32 2c 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 2,.4,.4...set..Lxchal_ofs_,..Lxc
e45e0 68 61 6c 5f 6f 66 73 5f 20 2b 20 38 0a 09 2e 65 6e 64 69 66 0a 09 2f 2f 20 4f 70 74 69 6f 6e 61 hal_ofs_.+.8...endif..//.Optiona
e4600 6c 20 63 61 6c 6c 65 72 2d 73 61 76 65 64 20 72 65 67 69 73 74 65 72 73 20 6e 6f 74 20 75 73 65 l.caller-saved.registers.not.use
e4620 64 20 62 79 20 64 65 66 61 75 6c 74 20 62 79 20 74 68 65 20 63 6f 6d 70 69 6c 65 72 3a 0a 09 2e d.by.default.by.the.compiler:...
e4640 69 66 65 71 20 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f ifeq.(XTHAL_SAS_OPT.|.XTHAL_SAS_
e4660 4e 4f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 73 65 6c 65 NOCC.|.XTHAL_SAS_CALR).&.~(\sele
e4680 63 74 29 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 2c 20 30 2c 20 31 30 30 ct)..xchal_sa_align.\ptr,.0,.100
e46a0 30 2c 20 34 2c 20 34 0a 09 6c 33 32 69 09 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 0,.4,.4..l32i.\at1,.\ptr,..Lxcha
e46c0 6c 5f 6f 66 73 5f 2b 30 0a 09 77 73 72 2e 53 43 4f 4d 50 41 52 45 31 09 5c 61 74 31 09 09 2f 2f l_ofs_+0..wsr.SCOMPARE1.\at1..//
e46e0 20 63 6f 6e 64 69 74 69 6f 6e 61 6c 20 73 74 6f 72 65 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 .conditional.store.option..l32i.
e4700 5c 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 34 0a 09 77 73 72 2e \at1,.\ptr,..Lxchal_ofs_+4..wsr.
e4720 4d 30 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 5c M0.\at1..//.MAC16.option..l32i.\
e4740 61 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 38 0a 09 77 73 72 2e 4d at1,.\ptr,..Lxchal_ofs_+8..wsr.M
e4760 31 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 5c 61 1.\at1..//.MAC16.option..l32i.\a
e4780 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 31 32 0a 09 77 73 72 2e 4d t1,.\ptr,..Lxchal_ofs_+12..wsr.M
e47a0 32 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 6c 33 32 69 09 5c 61 2.\at1..//.MAC16.option..l32i.\a
e47c0 74 31 2c 20 5c 70 74 72 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 2b 31 36 0a 09 77 73 72 2e 4d t1,.\ptr,..Lxchal_ofs_+16..wsr.M
e47e0 33 09 5c 61 74 31 09 09 2f 2f 20 4d 41 43 31 36 20 6f 70 74 69 6f 6e 0a 09 2e 73 65 74 09 2e 4c 3.\at1..//.MAC16.option...set..L
e4800 78 63 68 61 6c 5f 6f 66 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 32 30 0a 09 2e xchal_ofs_,..Lxchal_ofs_.+.20...
e4820 65 6c 73 65 69 66 20 28 28 58 54 48 41 4c 5f 53 41 53 5f 4f 50 54 20 7c 20 58 54 48 41 4c 5f 53 elseif.((XTHAL_SAS_OPT.|.XTHAL_S
e4840 41 53 5f 4e 4f 43 43 20 7c 20 58 54 48 41 4c 5f 53 41 53 5f 43 41 4c 52 29 20 26 20 7e 28 5c 61 AS_NOCC.|.XTHAL_SAS_CALR).&.~(\a
e4860 6c 6c 6f 63 29 29 20 3d 3d 20 30 0a 09 78 63 68 61 6c 5f 73 61 5f 61 6c 69 67 6e 09 5c 70 74 72 lloc)).==.0..xchal_sa_align.\ptr
e4880 2c 20 30 2c 20 31 30 30 30 2c 20 34 2c 20 34 0a 09 2e 73 65 74 09 2e 4c 78 63 68 61 6c 5f 6f 66 ,.0,.1000,.4,.4...set..Lxchal_of
e48a0 73 5f 2c 20 2e 4c 78 63 68 61 6c 5f 6f 66 73 5f 20 2b 20 32 30 0a 09 2e 65 6e 64 69 66 0a 20 20 s_,..Lxchal_ofs_.+.20...endif...
e48c0 20 20 2e 65 6e 64 6d 09 2f 2f 20 78 63 68 61 6c 5f 6e 63 70 5f 6c 6f 61 64 0a 0a 0a 23 64 65 66 ...endm.//.xchal_ncp_load...#def
e48e0 69 6e 65 20 58 43 48 41 4c 5f 4e 43 50 5f 4e 55 4d 5f 41 54 4d 50 53 09 31 0a 0a 23 64 65 66 69 ine.XCHAL_NCP_NUM_ATMPS.1..#defi
e4900 6e 65 20 58 43 48 41 4c 5f 53 41 5f 4e 55 4d 5f 41 54 4d 50 53 09 31 0a 0a 23 65 6e 64 69 66 20 ne.XCHAL_SA_NUM_ATMPS.1..#endif.
e4920 2f 2a 5f 58 54 45 4e 53 41 5f 43 4f 52 45 5f 54 49 45 5f 41 53 4d 5f 48 2a 2f 0a 0a 00 00 00 00 /*_XTENSA_CORE_TIE_ASM_H*/......
e4940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e49a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e49c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e49e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4a00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4a20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4a40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4a60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4a80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4aa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4ac0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4ae0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4b00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4b20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4b40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4b60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4b80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4ba0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4bc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4be0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4c00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4c20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4c40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4c60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4c80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4ca0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4cc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4ce0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4d00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4d20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4d40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4d60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4d80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4da0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4dc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4de0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4e00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4e20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4e40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4e60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4e80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4ea0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4ec0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4ee0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4f00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4f20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4f40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4f60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4f80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4fa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4fc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e4fe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5000 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5020 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5040 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5060 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5080 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e50a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e50c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e50e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5100 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5120 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5140 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5160 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5180 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e51a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e51c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e51e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5200 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5220 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5240 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5260 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5280 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e52a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e52c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e52e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5300 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5320 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5340 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5360 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5380 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e53a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e53c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e53e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5400 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5420 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5440 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5460 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5480 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e54a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e54c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e54e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5500 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5520 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5540 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5560 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5580 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e55a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e55c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e55e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5600 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5620 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5640 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5660 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5680 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e56a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e56c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e56e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5700 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5720 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5740 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5760 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5780 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e57a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e57c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e57e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5800 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5820 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5840 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5860 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5880 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e58a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e58c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e58e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5900 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5920 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5940 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5960 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5980 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e59a0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e59c0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e59e0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5a00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5a20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5a40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5a60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5a80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5aa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5ac0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5ae0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5b00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5b20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5b40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5b60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5b80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5ba0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5bc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5be0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5c00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5c20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5c40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5c60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5c80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5ca0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5cc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5ce0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5d00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5d20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5d40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5d60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5d80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5da0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5dc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5de0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5e00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5e20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5e40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5e60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5e80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5ea0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5ec0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5ee0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5f00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5f20 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5f40 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5f60 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5f80 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5fa0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5fc0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................
e5fe0 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................................